3D SiP封裝異質集成為依歸 IC測試CP、SLT重要性日增

文章推薦指數: 80 %
投票人數:10人

作者:DIGITIMES何致中

隨著5G、人工智慧(AI)、車用電子、物聯網(IoT)、高效運算(HPC)等半導體新應用領域百花齊放,晶圓製造先進位程在台積電的引領之下走向7、5、3納米,但隨著摩爾定律逐漸逼近物理極限,讓摩爾定律延壽的良方之一為先進封裝技術,包括扇出型晶圓級封裝(FOWLP)、2.5D/3D IC封裝,更進一步進入更能夠異質集成的3D晶圓堆疊封裝。

而各類新興應用推動半導體異質集成蔚為趨勢,軟、硬體大廠如蘋果(Apple)、Google、亞馬遜(Amazon)等巨人紛紛開始自行設計晶片以追求差異化特色,也使得封測廠爭取系統大廠訂單成為兵家必爭之地。

加上5G通信世代將有更多異質集成不同元件的需求,都持續帶動系統級封裝(SiP)需求大開,也進一步使得前段晶圓測試(CP),以及更後段的系統級測試(SLT)重要性隨之提升,將成為走過2018年,迎向2019年IC封測產業的主要發展方向。

力抗三星、英特爾 台積電跨足先進封裝腳步未停歇

台積電為了全力拉開與三星電子(Samsung Electronics)、英特爾(Intel)差距,除了揭露第四代CoWoS(Chip on Wafer on Substrate)封裝預計2019年量產,因應AI世代HPC晶片需求,台積電第五代CoWoS封裝製程2020年將問世。

儘管市場上不乏台積電與專業委外封測代工(OSAT)廠競爭說法,但事實上,台積電向來強調跨足封裝為晶圓段(Wafer-Level)的延伸,其用意也不是要與OSAT競爭。

台積電CoWoS封裝製程主要鎖定核心等級的HPC晶片,並已提供美系GPU、FPGA客戶從晶圓製造綁定先進封裝的服務,加上SoIC封裝技術齊備,先進封裝技術WLSI(Wafer-Level-System-Integration)平台陣容更加堅強,也更夠協助晶片業者能夠享有先進位程與先進封裝的一條龍服務,進一步在新世代中確保強大的算力。

台積電第四代CoWoS能夠提供現行約26mmx32mm倍縮光罩(約830~850平方公厘)的2倍尺寸,來到約1,700平方公厘。

預計2020年推出第五代CoWoS封裝,倍縮光罩尺寸更來到現行的3倍,約2,500平方公厘,可乘載更多不同的Chip、更大的Die Size、更多的接腳數。

台積電提出的先進封裝技術WLSI平台,已經納入相較InFO、CoWoS更為前段的SoIC、3D Wafer-on-Wafer(WoW)堆疊封裝。

SoIC製程,主要針對10納米等晶圓製造先進位程進行「晶圓對晶圓」的接合技術,可把不同晶片異質集成,由於IP都已經認證,可降低客戶成本,達到高效能、低功耗的需求,也近似於系統級封裝(SiP)概念。

台積電WLSI平台包括既有的CoWoS封裝、InFO封裝,以及針對PM-IC等較低階晶片的扇入型晶圓級封裝(Fan-In WLP)。

其中,CoWoS協助台積電拿下NVIDIA、超微(AMD)、Google、賽靈思(Xilinx)、海思等高階HPC晶片訂單。

InFO則主要應用於行動裝置AP,鞏固蘋果AP晶圓代工訂單,隨著InFO陸續推出衍生型版本,預計將持續切入網通相關領域,以及即將來到的5G世代通訊晶片。

值得一提的是,繼台積電SoIC等新概念封裝技術發布不久,英特爾也發表新款3D封裝的「Foveros」技術,同樣看重集成邏輯IC與存儲器的半導體異質集成大勢,應用領域鎖定高效能邏輯晶片、CPU、GPU、AI處理器等。

在半導體製程微縮逐漸逼近物理極限下,舉凡台積電的SoIC、英特爾的Foveros,其實概念上都偏向了SiP,重點為把不同製程的晶片異質集成,英特爾強調將把各類存儲器、IP模塊、I/O元件集成,產品可分解成更小的「chiplet」。

其中I/O,SRAM和電源傳輸電路可以建入底層晶片(base die)當中,高效能邏輯晶片則堆疊於其上。

英特爾預計將自2019年下半開始使用Foveros推出一系列產品,首款Foveros產品將結合高效能10納米運算堆疊小晶片和低功耗22FFL底層晶片,力求輕薄短小、高效能、低功耗。

台積電、三星封裝技術比較

FOPLP韓廠、力成搶進 日月光投控靜觀其變

相較於成本偏高的晶圓級扇出封裝,業界也在思考扇出型封裝能否有更具成本效益的製程。

儘管封測業者日月光投控、力成都高喊FOPLP商機,然目前看來,三星集團旗下三星電機(Semco)仍是最敢投資FOPLP技術的業者,且三星電機已量產可與InFO、CoWoS封裝分庭抗禮的FOPLP-PoP與I-Cube 2.5D先進封裝技術。

三星電機FOPLP最初用來生產電源管理晶片(PM-IC),但2018年已開始導入量產穿戴式裝置的AP晶片,供應自家穿戴式裝置新品Galaxy Watch使用,預計2019年全面跨入異質集成、晶圓堆疊的3D SiP系統級封裝。

FOPLP仍面臨不小的挑戰,以目前FOPLP剛起步的狀況來看,經濟規模將是技術普及的最大挑戰,在初期良率還不夠好的狀態下,FOPLP產能要達到理想的成本優勢,短期內恐不易達成。

FOPLP精細度要提升不容易,這亦是三星先切入相對低階的穿戴式裝置AP,目前尚無法取得高階智能型手機等級的客戶訂單,面對未來高效運算時代,包括AP、AI晶片、GPU、ASIC或FPGA等高階晶片,恐無法使用現行的FOPLP設備量產,況且FOPLP同樣有翹曲(warpage)等問題待解決。

FOPLP製程設備投資風險大亦是一大考量,由於FOPLP無法沿用既有面板或晶圓製造設備,多數業者必須以新製程製作設備,機台的成本相當高,若是經濟規模不夠大,量能無法支撐成本,投資回收將有相當的難度。

OSAT業者中力成大力宣布投入FOPLP新產能。

力成於2018年9月25日舉行竹科三廠動土典禮,由力成董事長蔡篤恭親自主持,FOPLP新廠估計投資的總金額將達新台幣500億元,工程預計於2020年上半完成,並將於2020年下半開始裝機量產。

力成於2004年就以TSV(矽穿孔)的3D IC封裝技術為基礎,並且開始投入發展大尺寸FOPLP封測,2016年底在竹科裝置完成全球第一條FOPLP研發與小量生產的生產線,設備投資已經超過1億美元。

FOPLP可降低封裝厚度、增加導線密度、提升產品電性、面板大工作平台可提高生產效率、電晶體微型將具備開發時間短與成本低等優勢。

面板級扇出型封裝技術更將可提供最佳的系統級封裝(SiP)解決方案。

OSAT龍頭日月光也已經在FOPLP技術上齊備,估計2019年中以後至2020年都有機會視客戶需求量產。

日月光在面板級扇出封裝規格上力求統一,訂出300x300mm、600x600mm面板尺寸規格,針對各類植基於扇出型封裝的高階封裝製程都可以支持。

舉凡日月光所提出針對中高階伺服器、資料中心、FPGA晶片、GPU的FOCoS(Fan-Out Chip-on-Substrate)封裝,以及適用於通訊產品、網通處理器的FOPoP(Fan-Out Package-on-Package)封裝、甚至適用於量能龐大的RF-IC、PM-IC的eWLB封裝製程,日月光FOPLP產能都將可以支持,對於大、中、小型晶片封裝需求可說通吃。

系統廠訂單重要性增 EMS模式搶SiP、SLT商機

全球產業競爭局勢丕變,龍頭軟、硬體業者如蘋果、亞馬遜、Google甚至Tesla等紛紛朝自行設計晶片方向邁進,這也使得封測廠必須更進一步鞏固系統廠生意訂單。

而系統廠欲自行設計晶片的關鍵,也無非希望能夠生產具更具差異化、具有特色的晶片,進一步在競爭激烈的市場中脫穎而出。

日月光投控提出新3C概念,包括集成collect、compute、connect,將是未來封測產業廣大機會,應用層面包括智能生活、到自主生活,半導體都會是基本需求。

從AI發展的角度來看,不只是資料中心(Data Center),邊緣運算(Edge-computing)也是重要關節。

不管是手錶、智能型手機等等,這些邊緣運算需求都需要SiP模塊助攻。

若能夠把不同晶片集成封裝,形成新的微系統擴大市場,也可增加更多系統投資,形成正向循環。

各類微系統中的傳感器背後還有系統單晶片(SoC),在HPC趨勢下要求的功能越來越高、越來越多,業界更會思考要怎樣把不同晶片放在同一系統上,如何把不同功能的不同晶片封裝的更短小,這些設計都可用委託OSAT大廠以SiP封裝來解決,令獨特性、差異化提升。

事實上,舉凡台積電、英特爾提出的新款3D封裝,強調的都是邏輯晶片集成存儲器等SiP的異質集成特色,這也意味著國際大廠追求的不再是逼近摩爾定律極限的製程微縮,而是異質集成。

而各類SiP封裝需求的竄出,業界思考是否有機會進一步放大產量、降低成本外,SiP也同樣會出現更多來自於半導體測試的挑戰。

由於先進位程與高階晶片的複雜性與成本不可同日而語,最前段的晶圓測試(CP)必須更為精準,關鍵在於晶圓測試探針卡(Probe Card)的設計,而最後段的系統級測試,則使得測試業者必須進一步把原本EMS系統代工廠行之已久的測試模式移到半導體領域,這也將橫跨IC、自動化設備、SLT等不同領域。

5G、AIoT等應用,因應異質集成需求的SiP封裝模塊勢必有更大量能需求,進入大批量測試時,封測業者不僅藉由系統級測試得知SiP模塊堪用與否,更希望能夠找出異質集成的元件中,哪裡一部分出現問題。

隨著5G晶片的複雜度以及半導體製造先進位程成本增加,測試端的重要性自然也不可同日而語。

能夠熟悉自動化測試設備(ATE)、SLT、IC等能夠跨領域溝通的人才,目前亦相對缺乏。

OSAT廠與測試設備廠的角色,事實上也更往類似於EMS廠的方向靠攏,未來如何在SiP時代建立起有效率的SLT產業鏈,也將是重要課題。

(2019年產業展望系列)


請為這篇文章評分?


相關文章 

5G時代臨近,SiP將扮演關鍵封裝技術

全球5G通訊世代即將在2020年商用運轉,科技大廠三星電子、華為、高通等紛積極展開布局,儘管對於台系晶片業者來說,2021~2022年才是真正的爆發期,但考量研發動能絕不能延遲投入,後段封測業者...

每周半導體資訊:Intel爭奪蘋果CPU訂單

【天極網手機頻道】半導體行業近幾年在高速發展,同時對整個電子市場的推動也有著極大地促進,雖然不斷的曝出了摩爾定律即將失效,製程更新進入瓶頸期,不過這一切也難以阻止半導體行業的進步。最近的一周,整...

2018年晶片上下游產業鏈及競爭格局分析

中商情報網訊:根據晶片的生產過程,一般產業鏈分為上游設計、中游製造、下游封裝和測試三個主要環節,除此之外還包括各個環節配套的設備製造、材料生產等相關產業。此外,按形式可分為IDM和垂直分工兩種模...

英特爾、三星等IDM大廠積極經營晶圓代工市場

三星電子和英特爾將大幅拓展晶圓代工事業領域。過去掌握晶圓代工市場的台積電、格羅方德等單純晶圓代工業者,和三星、英特爾等綜合半導體企業(IDM)憑藉各自的優勢,形成競爭版圖。據韓國朝鮮日報報導,三...

誰動了封裝業者的奶酪?

由於先進位程節點繼續向下推進的難度越來越高,前段晶圓製造企業不是戰略性地退出先進位程市場,試圖在成熟製程上發掘更多商業應用價值,就是在先進封裝領域投入更多資源,藉由封裝技術讓晶片效能更加精進。但...

摩爾定律達極限 高階封測技術挑大樑

半導體業晶圓製程即將達到瓶頸,也就代表摩爾定律可能將失效。未來晶圓廠勢必向下整合到封測廠,在晶圓製程無法繼續微縮下,封測業將暫時以系統級封裝等技術將晶片做有效整合,提高晶片製造利潤,挑起超越摩爾...

台積電5大戰將詳解

版權聲明:本文來自《財訊》,如您覺得不合適,請與我們聯繫,謝謝。11 月,台積電晉升 5 位副總,包括米玉傑、余振華、卡利尼斯基以及從英特爾挖來的張曉強;還有負責開發市場的金平中。他們的強項在哪...