7納米EUV製程戰火燃,台積電3月領先量產

文章推薦指數: 80 %
投票人數:10人

作者:DIGITIMES陳玉娟

延續7納米製程領先優勢,台積電支持極紫外光(EUV)微影技術的7納米加強版(7+)製程將按既定時程於3月底正式量產,而全程採用EUV技術的5納米製程也將在2019年第2季進入風險試產。

據了解,獨家提供EUV設備的ASML,先前預估2019年EUV機台設備銷售總量將達30台,當中台積電就砸下重金訂購18台,顯見7納米、5納米EUV製程推進相當順利。

台積電以強勁技術實力與龐大資本支出已將競爭門檻築高,與三星電子(Samsung Electronics)實力差距可望在EUV時代中快速拉開。

隨著格芯(Global Foundries;GF)於2018年8月宣布擱置百億美元投資的7納米製程計劃,7納米以下先進位程技術戰場形成台積電、三星與英特爾(Intel)三雄對戰情勢。

而單就代工來看,英特爾因苦陷10納米製程延遲困境,已無暇顧及代工事業,目前幾已是淡出晶圓代工市場狀態,因此,7納米以下晶圓代工戰局已是三星、台積電雙雄對決戰局,不過隨著台積電7納米/5納米EUV製程進展順利,而三星依舊未見重量級客戶大單落袋,台積電在7納米以下先進位程領先幅度正持續擴大中。

半導體設備業者表示,截至目前為止,台積電已於2018年4月搶先進入7納米時代,並囊括蘋果(Apple)、高通(Qualcomm)、華為海思、超微(AMD)、賽靈思(Xilinx)等國際大廠大單,且支持EUV技術的7+製程應會在3月底正式量產。

對比之下,三星決定採取由14納米直接跨入7納米EUV時代策略,力圖超車台積電,雖然先前宣布導入EUV技術的7納米LPP製程將已研發完成,並進入商用化階段,但目前並未見大客戶下單跡象,顯見在7納米EUV製程上面臨諸多問題。

設備業者指出,7納米以下EUV製程不僅資金投入更為可觀,技術難度亦是大增,據了解,光罩防塵薄膜(Pellicle)在EUV光源下可能會產生微粒,造成光罩污染,以及曝光裝置耗電量過高等問題都迄今尚未全部解決,EUV測試產能目前也不及浸潤式製程,不過單就台積電、三星7納米EUV進度來看,台積電近期應已克服大部分瓶頸問題,良率與產能表現領先對手。

據了解,獨家提供要價逾1億美元EUV設備系統的ASML,先前預估2019年EUV機台設備銷售總量將達30台,當中台積電就砸下重金訂購18台,顯見7納米5納米EUV製程推進相當順利,其餘12台訂單客戶則是英特爾、三星等DRAM客戶,而中芯目前只有在2018年下單1台。

台積電先進位程持續按計劃進行。

據了解,7納米EUV製程將在2019年3月底量產,7納米加上7納米EUV製程,估計至2019年底Tape out將超過100個,2019年下半7納米貢獻將增速 ,受惠手機新品出貨進入旺季及高速運算(HPC)產品開始小量出貨,7納米製程全年營收比重將可達25%,較2017年9%大幅提升,不過,7納米EUV製程比重相當低。

以此來看,市場預估蘋果下時代iPhone新機所採用的A13晶片,應會持續採用良率大幅提升的7納米製程。

而台積電憑藉優異技術與龐大資本支出築起的競爭門檻,已令對手群難以超越。

以更先進的5納米製程為主的12吋晶圓廠Fab 18,總投資金額高達新台幣7500億元(約合243億美元),已確定在2019年第2季進入風險性試產,並已獲得大客戶訂單。

由於5納米製程成本更為高昂,代工報價只有一線晶片大廠能接受,因此市場預估目前應只有蘋果與華為表態升級轉換意願。

半導體設備業者表示,台積電7納米良率持續拉升,且順利往7納米EUV與5納米、3納米推進,搶先進入EUV時代,除擁有三星以外高階手機晶片代工大單外,未來5G、AI主流市場訂單亦是穩當落袋。

先前雖傳出英偉達(NVIDIA)下一代GPU將轉采三星7納米EUV製程,但據了解,應只是三星大幅降價爭取客戶的策略,由於三星7納米EUV製程良率、產能風險不明,向來謹慎的NVIDIA應只是考慮而已,並未確定下單,主要還是希望台積電7納米製程報價能降低。

事實上,進入7納米以下製程時代後,晶片大廠目前只有三星、台積電代工選擇,先進位程帶來優異效能提升但良率、產能風險也增高,晶片業者不會貿然因為代工價格低就冒險採用,一旦產品出現問題,當代產品就可能全軍覆沒,遭對手超越,因此擁有完整生態鏈、一條龍服務,以及先進位程規劃謹慎穩健的台積電會是代工首選。


請為這篇文章評分?


相關文章 

三星EUV 7nm要2019年才有機會奪回蘋果大單

三星半導體事業2017年營收已成功超越英特爾,成為全球半導體企業營收龍頭,但這龍頭寶座,其實有很大部分是靠著三星自家產品需求堆出來,這其中包含手機、家電等消費性電子產品對相關晶片方案持續成長,進...

10nm製程良率不足 或將引起連鎖反應

根據蘋果以前的更新規律,將會在明年推出的新款iPad將會採用全新的A10X晶片,而不出意外,這顆處理器將會由台積電代工,工藝也應該使用的是10nm製程。可是現在卻傳出了一個壞消息,台積電的10n...

英特爾、三星等IDM大廠積極經營晶圓代工市場

三星電子和英特爾將大幅拓展晶圓代工事業領域。過去掌握晶圓代工市場的台積電、格羅方德等單純晶圓代工業者,和三星、英特爾等綜合半導體企業(IDM)憑藉各自的優勢,形成競爭版圖。據韓國朝鮮日報報導,三...

為什麼手機這麼熱?因為三星和台積電說謊了

業者透露,晶片廠內部有套「換算」規則:台積電的十六納米等於英特爾的二十納米、十納米等於英特爾的十二納米……手機主控性能、發熱與製造工藝之間的關聯性「古已有之」,但真正引起人們的關注是從去年某個「...

半導體新製程節點定位命名誰說的算數?

半導體製程節點名稱出現前所未有的「增生」情況,產業界需要一種優良的公用性能基準,才能對不同業者的半導體製程技術進行比較。這段時間以來,晶圓代工業者紛紛將他們自己的最新製程節點以自己想要的市場定位...

三星14納米Nvidia嫌棄良率低、自製GPU受挫

三星電子的14納米晶圓代工,似乎又因良率不足被打槍!韓媒透露,繪圖晶片巨擘Nvidia可能會減少對三星下單,目前雙方協商進展困難。由於Nvidia先前才公開宣稱,台積電才是該公司的「主要」晶圓代...

台積電三星10nm量產卡關:良率不夠

集微網消息,據台灣媒體報導,台積電、三星電子10納米製程量產進入倒數計時階段,然近期卻陸續傳出量產卡關消息,半導體業者透露,台積電為蘋果(Apple)生產新一代iPad處理器A10X,出現良率...