高通下一代SoC棄三星轉投台積電 7納米代工贏家通吃?

文章推薦指數: 80 %
投票人數:10人

近日,高通下一代旗艦SoC驍龍855(或8150)的設計曝光,其具有三個CPU集群,分別是兩個超大核心、兩個大核心以及四個小核心,支持QC 5.0快充,支持5G數據機。

此外,驍龍855還整合了神經運算單元。

在本季度,這枚晶片將投入量產,預計終端上市將會在2019年1月。

但比起性能參數的升級,高通驍龍855還有一個重要變化,就是它將採用台積電7nm工藝量產,而非由老夥伴三星代工。

從高通轉換代工廠的原因不難推斷,在蘋果等競爭對手採用7nm之後,高通的SoC若不升級製程,便會在性能方面落入下風,同時商業宣傳也會十分尷尬。

縱觀2018年,台積電7nm製程於上半年投產,服務蘋果、高通、英偉達等客戶。

而三星這邊,導入極紫外(EUV)光刻7nm LPP雖然已在十月份量產,但給驍龍855代工也是來不及了。

由此一來,三星7nm工藝也就只能用於自家的SoC。

就目前情況看,7nm的戰局台積電占絕對優勢,至於能否達到「通吃」局面,還要看兩家代工廠明年的進展,特別是三星作為「追趕者」會採取什麼戰略。

7nm進程:台積電占優

明年台積電會在7nm導入EUV,命名為7nm+。

在性能方面,7nm+相比前代工藝能夠提升20%的電晶體密度和10%左右的能耗降低。

據了解,7nm+對EUV的應用僅限四個非關鍵層,主要目的是加快晶片生產速度並積累EUV光刻的經驗。

到了5nm製程,電晶體微縮程度更大,就會大規模啟用EUV。

7nm+將給誰用,台積電並未透露,但答案是顯而易見的。

據Digitimes援引業內人士報導,台積電將獨家代工蘋果明年的A13處理器,而其在代工市場的份額也將由當前的56%提升至60%。

由此看,7nm不僅鎖住了大客戶,更將幫助台積電進一步提升代工市場的份額。

而對三星來說,隨著時間推移,對手變得越來越強,因此就要採取更激進的策略。

今年十月份,三星在舉辦的晶圓代工論壇上更新了其技術路線圖,宣布導入EUV的7nm LPP開始量產,也就是說三星第一代7nm製程就採用了EUV,這確實比台積電激進。

目前三星已在韓國華城的S3工廠配置多台來自ASML的EUV光刻機,明年將繼續擴大建設EUV產線,預計2020年竣工投產。

三星還特別指出,除自家的Exynos晶片外,高通驍龍5G SoC也將採用7nm LPP生產。

另外,在7nm放量之前,三星8nm LPU(實為10 nm改進版)將繼續作為主力,而高通也是三星8nm LPU的客戶,未來可能是用其來代工5G基帶。

由此看,高通投靠台積電並非長期,與三星的合作關係還將繼續。

在加大投入的同時,三星也在這一年來調整了代工業務的經營戰略。

本著資源優化配置的原則,三星在去年把代工業務從LSI部門獨立出來,成為集團旗下的純代工企業。

今年年初,三星設立了「先進晶圓代工生態系統」(SAFE),意在強化與高通等關鍵客戶的聯繫,完善晶圓代工生態。

五月份,三星又成立了晶圓代工專屬的研發部門。

另外,還有報導稱三星為跟台積電搶單,已將代工價格下調20%,以吸引蘋果、英偉達等客戶。

當然,以三星初涉7nm就採用EUV的情況看,這些大客戶可能並不願意為了省錢甘願冒險,三星若想爭取到大客戶,還得等到自家工藝驗證得到認可才有可能。

先進封測:台積電領先

台積電之所以能夠長期為蘋果提供代工服務,每年都更新工藝製程固然是根本原因,但還有個不容忽視的因素就是其獨特的集成扇出型封裝(InFO),而三星要想搶奪大客戶訂單,也必須擁有自己的先進封裝技術,以增強競爭力。

目前,三星正在面板扇出型封裝(FOPLP)上持續發力。

所謂面板扇出型,即將晶片封裝在一片大的方形面板上。

此技術的初衷是一次性封裝較多數量的晶片,由此提高效率並降低單位成本。

以上圖的610mm×457mm的封裝載版為例,其面積可達到2788mm²,而通常的12寸晶圓僅有707mm²,前者面積相當於後者4倍,理論上封裝成產量也是其4倍。

然而事實並非紙上談兵,要想用FOPLP實現4倍於普通晶圓的產能,尚有許多技術難點需要克服,初期的研發成本必然很高,且生產良率必然低下;此外FOPLP的設備有異於傳統封裝,因此搭建產線的成本高昂,如後續不能形成規模效應,將很難收回投資。

現階段,雖然日月光、力成等封測廠都在高喊FOPLP的機會,但最敢投資的只有三星。

三星作為集團企業,最大優勢在於垂直整合模式。

儘管以三星代工目前難以擴大市占比,但FOPLP還是有其用武之地。

目前,三星已利用FOPLP生產自家的電源管理晶片、穿戴設備處理器等,預計明年將發展到3D-SiP(系統級封裝)階段,用於更複雜的晶片。

FOPLP最終要達到的目標,就是對標台積電的InFO,用於生產最高級的移動SoC以及AI晶片等。

觀察晶圓代工業,單純的電晶體微縮技術已經快走到頭了,更多的技術變革將會在封測領域產生。

台積電和三星作為代工界的龍頭,已經深刻認識到未來晶片的性能很大一部分都取決於封裝,因此對這方面的投資將持續擴大,競爭也會越來越激烈。

總結:台積電當前占優 但三星不容小覷

今年,晶圓代工業發生巨變,格芯和聯電相繼宣布不再投資先進位程,轉而利用成熟製程維持現有生態,因此先進代工市場就只剩下台積電和三星兩大競爭者。

在晶圓製程進入個位數之際,兩家代工廠勢必展開更激烈的競爭。

早在去年,台積電董事長(現已退休)張忠謀曾表示:在五年前就已經預測到三星將是個很強的對手,但在十年前可沒想到三星會這麼強。

張忠謀認為,三星的優勢在於非常有決心和毅力去執行一件事,這點已經被證明多次了。

從三星走過的路也可看出,大集團的垂直整合優勢確實是競爭法寶。

拿三星7nm製程和FOPLP這兩項來說,即便一時接不到外單也可用於三星自家內部的項目,有助於提高集團整體的競爭力。

相比之下,台積電「服務」性質的代工廠文化,則依靠滿足客戶的需求創造價值。

日後晶圓製程越先進,所需的投入也將成倍上升,能承受的客戶越少,台積電也將面臨較大的風險。

目前,台積電、三星正圍繞7nm展開角逐,而未來的5nm、3nm節點和EUV的大範圍應用所面臨的技術風險,對兩家代工廠而言都是十分重要考驗,因此誰會是贏家,未來很有看頭。


請為這篇文章評分?


相關文章 

收藏!全球知名廠商納米製程技術最新進展

來源:芯師爺前段時間,晶圓代工龍頭台積電,在南京設置的12英寸廠,因為試產良率良好,將準備提前半年在2018年5月量產。據報導,台積電當時斥資30億美元,在南京廠以16納米製程導入試產之後,原本...

台積電將邁進5nm時代,遙遙領先同行

根據市場消息,台積電預定在明年第1季進行5奈米製程風險性試產,將是全球第一家導入5奈米製程試產的晶圓代工廠,而依據台積電的時程,將有望在明年底或2020年初進行量產,再度領先全球。

FinFET是什麼? 移動14nm戰鬥正式開始

手機之家深圳分站2015年3月3日消息——MWC 2015在西班牙的巴塞隆納開幕了,其中在產品方面十分吸引人的是三星發布的新一代旗艦手機GALAXY S6/S6 Edge,而該款手機也如2014...

厲害了 明年A系列晶片或採用7nm工藝

【IT168 資訊】儘管即將發布的高通驍龍835以及傳聞中的麒麟970都已經確定或很大程度上可能採用10nm製程工藝,而目前看來10nm技術也是當下SoC可量產技術中最先進的工藝,但根據台灣媒體...