三星如何晉升為晶圓代工二哥?|半導體行業觀察

文章推薦指數: 80 %
投票人數:10人

近些年,作為巨無霸級別的IDM,三星一直覺得其晶圓代工業務水平還不夠好,視行業霸主台積電為「眼中釘」,並通過大力投資、挖人等措施,不斷完善其晶圓代工技術能力和客戶認可度。

2017年,就傳出三星發下豪言要超越格芯(GlobalFoundries)和聯電,晉升為全球晶圓代工二哥,未來還要擠下台積電,躍居市場霸主。

顯然,這一目標在2017年並沒有實現,然而,這一願望在2018年有望成為現實,

今年年初,在韓國首爾舉辦的三星晶圓代工論壇上,三星相關負責人表示:「今年的目標是到年底,將晶圓代工的市占率從第四名提升到第二名,超越聯電和格芯。

未來則打算超越台積電」。

目標很是遠大!

據悉,2017年,三星晶圓代工部門的營收為46億美元、市占率為6%,是全球第四大晶圓代工廠,落後台積電、GlobalFoundries、聯電。

據IC Insights報告預估,今年三星晶圓代工的營收將增至100億美元,市占將升至14%,將躋身市場二哥位置。

根據IC Insights統計,2018年,在全球的純晶圓代工廠當中,台積電將實現347.65億美元的營收,而排在第二位的GlobalFoundries營收為66.4億美元,而今年三星晶圓代工的營收有望增至100億美元,這樣就超過了GlobalFoundries,排在台積電之後,位列第二。

不過,報告同時指出,三星市占提高,主要是拆分晶圓代工部門的效應,並非業績真有大幅成長。

由於三星的晶圓代工部門自立門戶,不再隸屬於系統LSI業務,因此,生產三星自家的Exynos手機晶片,算在三星的晶圓代工營收當中,市占率因此猛增。

三星的底氣

晶圓代工產業是一個對技術和穩定性要求非常高的產業,同時是一個投入很高的產業。

如果沒有利潤率不低,且數量龐大的產品支撐,運營一個晶圓廠是一個很艱難的任務。

但三星發展的模式,讓他們解決了這些問題。

目前,三星晶圓代工共有三個廠區,分別是韓國器興(Giheung)的S1廠、美國德州奧斯汀的S2廠,以及韓國華城(Hwaseong)的S3廠。

當中S3預計今年底啟用,將生產7nm、8nm、10nm製程晶片。

今年2月,三星宣布投資60億美元,在首爾郊外新建半導體廠房。

計劃擴大晶圓代工業務。

此舉除了與台積電競爭外,還有應對半導體行情波動的目的。

據悉,新工廠將於2019年下半年完工,2020年正式投產。

將投產7nm及以下製程。

按照三星的計劃和時間表,明年導入全版極紫外光(EUV)技術後,晶圓良率與價格將會優於台積電,營收表現也會超越競爭對手。

三星計劃在2018 下半年先行量產7nm製程,6nm與5nm製程隨後也將於2019 年上陣,可提供三星客戶更多選擇。

要有所改變

為了能夠專注於晶圓代工業務,2017年5月,三星宣布將該業務部門獨立出來,成為一家純晶圓代工企業,並計劃在未來5年內取得晶片代工市場25%的份額。

此外,今年5月,三星為其晶片代工業務設立了研發中心,表明了該公司推動這一業務深入發展的決心。

據知情人士透露,為增強晶片代工業務能力,三星在其設備解決方案部門(負責監管該公司的關鍵晶片業務)下設立了研發中心。

研發中心將納入三星在設備解決部門的八個現有研究機構,包括內存、系統大規模集成電路、半導體、封裝、LED、生產技術、軟體和顯示中心。

三星做出了各種各樣的努力,以進一步深入晶片代工業務,今年早些時候還啟動了三星先進的代工生態系統項目,來滿足晶片代工業務相關客戶的需求。

一名行業觀察人士表示:它通過加強與包括高通在內的主要客戶的聯繫來促進增長。

格芯、聯電退出

先進位程帶來機遇

不久前,聯電宣布不再投入14nm FinFET以下先進位程工藝結點技術的研發,將主要精力放在特色工藝技術和優化客戶服務水平上。

這樣做,一方面是可以避開與台積電硬碰硬式的競爭,影響資金利用效率,另外,這使其在穩健的發展策略和道路上又邁進了一步。

無獨有偶,在評估投資風險及客戶規模後,格芯不久前也宣布,放棄12nm以下(不包括12nm)先進位程技術的研發,將精力放在特色工藝技術研發,特別是SOI工藝技術上來。

這樣,行業只剩下台積電、英特爾和三星能繼續在7nm及更先進位程上競爭。

三星在7nm全球首先導入了EUV技術,預計2018年下半年投產,2019年將進一步推出7nm優化版,即5nm和4nm製程。

三星指出,7nm將應用在網絡和汽車晶片領域,而非效能要求較高的GPU,這裡可以看出,三星7nm技術還很難獲得NVIDIA和AMD的青睞,雖然領先台積電導入了EUV技術,但與行業老大相比,其綜合工藝水平還是略遜一籌。

三星晶圓代工部門總裁鄭恩升表示,備受矚目的3nm製程,2020年將首度導入GAA工藝,這是三星力推的下一代電晶體架構,同時搭配EUV技術。

爭奪蘋果訂單

在過去多年中,三星半導體部門和台積電一直在激烈爭搶蘋果公司A系列處理器的訂單,最近幾年,台積電獲得的訂單越來越多,三星逐步被蘋果冷落。

為爭奪蘋果訂單,三星一直在努力,據悉,三星依靠先進工藝,希望在2019年從台積電手中分得一杯羹,爭取奪回部分A系晶片的訂單。

蘋果的A系列訂單的價格相比而言會更高,所以收益就更大,如果三星可以獲得更多的蘋果晶片訂單,那麼台積電的營收就會下降,間接的就會影響台積電的整體經營,在晶片這個如此燒錢的領域,如果營收不保的話,是很難堅持下去的,而如果一直接不到頂級晶片的訂單,勢必也會影響自己的量產能力。

在2014年之前,蘋果與三星曾維持了多年的合作關係。

由於當時台積電在良率上未能趕超三星,從2007年賈伯斯發布第一款iphone 開始,蘋果的第一代、第二代和第三代都是向三星採購的ARM架構晶片,接下來的A4、A5、A6和A7也都是由三星代工。

2014年是三星、台積電和蘋果A系列處理器代工關係的轉變期。

當時,蘋果正在大力推行去三星化,一旦出現在技術、產能上可以與三星匹敵的供應商,蘋果會馬上放棄三星。

另外,2014年台積電不僅完成了產能提升,且在20nm製程上實現突破,良率也大幅提升。

反之,三星在那個時候則開始掉鏈子,在20nm上遲遲無法解決關鍵問題,良率不能滿足。

這就導致接下來的A10、A11、乃至接下來的A12,三星都無法從台積電口中搶回訂單。

但從近期三星發力晶圓代工業務的架勢來看,是要與台積電對抗到底了。

台積電自2016年以來一直都是蘋果「A系列」處理器的獨家供應商,為iPhone 7提供A10 Fusion處理器,為iPhone 8和iPhone X提供A11 Bionic處理器。

三星的工藝對比台積電究竟哪家好?按之前蘋果A9處理器的媒體普通報導來看,是台積電勝出。

知乎上有人認為這事那麼簡單,做ASIC項目時,如果工藝不同,那就是一個全新的項目,設計上完全會不同,簡而言之mask是完全不一樣的。

雖然不清楚蘋果是怎麼做的,就能接觸到的一些公司而言,是絕對不會平行開發兩家foundry的相同產品,開發成本會double甚至更多,產品周期也會拉長。

一般design house會先在台積電流片量產,後期降成本,會porting到UMC,SMIC這些foundry。

考慮到三星的fin密度比台積電高,很有可能蘋果是先設計台積電工藝下的,然後再shrink到三星。

真是如此的話,那三星代工A9比不過台積電是很正常的。

今年台積電仍是2018款iPhone所使用的A12處理器的獨家供應商,目前看來,2019款iPhone所使用的A13處理器也將繼續由台積電獨家供貨,A13處理器預計將使用到使用7nm工藝+極紫外光刻工藝。

但是,三星並沒有放棄,希望2019年能從台積電手中奪回部分A13處理器的代工訂單。

重金投資

FOPLP封裝技術

據悉,三星為搶回被台積電通過集成型晶圓級扇出封裝(Integrated Fan-Out;InFO)綁定晶圓代工先進位程所流失的蘋果iPhone應用處理器訂單,旗下三星電機重金投資面板級扇出型封裝(Fan-Out Panel Level Packaging;FOPLP)技術,儘管目前三星尚未奪回蘋果AP大單,但近期三星電機已訂出新的先進封裝計劃,加上三星集團在存儲器、面板產業全方位的垂直模式,仍將是台積電不可忽視的競爭對手。

據悉,台積電仍將堅持使用晶圓級製程,包括進入量產的集成10nm邏輯晶片與存儲器的InFO-PoP,2018年底將通過驗證的集成型晶圓級扇出暨基板封裝(InFO_OS)、集成晶圓級扇出存儲器基板封裝(InFO_MS)等,將切入高效運算(HPC)、網通晶片應用市場。

儘管台系封測廠商日月光、力成都高喊FOPLP商機,但三星仍是最敢投資研發FOPLP技術的廠商,且三星已量產可與InFO、CoWoS封裝分庭抗禮的FOPLP-PoP與I -Cube 2.5D先進封裝技術。

三星FOPLP最初是用來生產電源管理晶片,但進入2018年之後,已開始導入量產穿戴式裝置的AP晶片,供自家穿戴式裝置新品Galaxy Watch使用,預計2019年全面跨入異質集成、晶圓堆疊的3D SiP系統級封裝。

不過,FOPLP仍面臨不小的挑戰,以目前FOPLP剛起步的狀況來看,經濟規模將是技術普及的最大挑戰,在初期良率還不夠好的狀態下,FOPLP產能要達到理想的成本優勢,短期內恐不易達成。

另外,FOPLP精細度要提升不容易,這也是三星先切入相對低階的穿戴式裝置AP,目前尚無法取得高階智能型手機等級的客戶訂單,面對未來高效運算需求,包括AP、人工智慧晶片、GPU、ASIC或FPGA等高階晶片,恐無法使用現行的FOPLP設備量產,況且FOPLP同樣有翹曲(warpage)等問題待解決。

FOPLP製程設備投資風險大,也是一大挑戰,由於FOPLP無法沿用已有面板或晶圓製造設備,多數業者必須以新製程製作設備,機台的成本相當高,若是用量不夠大,將無法支撐成本,投資回收將有相當難度。

降價20%搶單台積電

有報導稱,三星為了跟台積電搶單,已將代工價格下降了20%,以吸引高通、蘋果、NVIDIA及其他ASIC廠商的訂單,但考慮到其中的風險,這些廠商暫時還沒有回應。

原因可能在於7nm極紫外光刻工藝的質量和合格率風險,台積電就遇到了這樣的麻煩。

台積電可能要在生產5nm工藝晶片時才會全面整合EUV光刻工藝。

EUV技術對任何客戶都非常有吸引力,包括蘋果,其實三星對 EUV 技術的各種風險也早有預期,因為三星最初僅計劃將 EUV 技術重點服務於 Galaxy S10 手機的 Exynos 晶片。

高通是另一塊肥肉

除了蘋果以外,高通是三星與台積電爭奪的另一大客戶。

在高通的新款驍龍855晶片代工權被台積電搶走之後,今年年初,三星終於有了好消息,那就是高通7nm 5G移動晶片由三星代工。

具體來講,是基於三星的7nm LPP工藝製造,該技術節點會引入EUV(極紫外光刻)。

為何高通7nm 5G晶片選擇由三星代工而不是台積電呢?首先要說明的前提是台積電7FF+和三星7LPP密度差距不大。

其次,高通要拿三星S和note系的訂單。

所謂7LPP的高通5G晶片,應該是2020年出來的高通865,明年的高通855會是台積電的7FF。

三星官網稱,高通的5G晶片要用三星7PP。

高通在2月發布的首款7nm晶片——驍龍X24 LTE數據機現已開始向客戶出樣,首批商用終端預計將於2018年底上市。

不過,X24卻是來自台積電的7FF工藝。

競爭弱項

在晶圓代工方面,三星存在著天生的弱項,由於該公司是巨無霸級別的IDM,幾乎什麼都做,而一個什麼都做的企業,最大的敵人反而是自己。

因為終端硬體的毛利,會不斷的下滑。

反而是能自始至終專注在本業上的公司,可以成為產業中的領先者。

特別是,中國的手機品牌崛起以後,三星近年成長的一大動能——手機的市占率不斷下滑。

看看IBM、SONY、HTC,就能明白,終端硬體的市場沒有永遠的贏家。

除非能像蘋果一樣,常常是新產品的先驅,才能把握住市場,營收不斷提高(蘋果的軟體和系統都有競爭優勢)。

所以,一個什麼都做的企業,到最後必須去調整每個營收下滑部門的未來,是裁撤,還是縮減就好?是否要加深其他部門占營收的比例?轉型的下一步是射門?.. .等等的問題。

但在專注本業的公司上,特別是幾乎沒有敵人的公司,很少會有上述的問題。

另外,客戶疑慮也是一個問題。

以蘋果為例,該公司一直在猶豫:到底要分配多少訂單給台積電和三星呢?如果全部交給三星,但三星又是最大的智慧型手機競爭對手,那麼他們代工的晶片可靠嘛?值得信任嗎?這些都是問題。

市場變化

促進代工業務發展

去年,三星半導體業務一共獲得了685億美元的收入,其中80%來自於快閃記憶體和內存晶片,其他收入來自於圖像傳感器、應用處理器和代工業務。

不過眾所周知的是,在歷史上,快閃記憶體和內存是一個價格頻繁波動的產品,去年形勢大好並不意味著將來也會旱澇保收。

在過去幾個月時間裡,快閃記憶體晶片價格暴跌。

另外,明年內存市場也不容樂觀。

在這樣得行業背景下,三星需要做好備份計劃,即在存儲晶片市場低迷的情況下,通過晶片代工等業務獲取更多收入。

中國市場成為重點

三星已提出要用5年時間將其在全球晶片代工市場的份額提升至25%,而當前台積電占有的市場份額高達60%,要想超越,難度極大。

而作為具有巨大市場空間和發展潛力的中國,是三星今後要著重發展的版塊。

今年6月,在上海召開了「2018三星晶圓代工論壇(Samsung Foundry Forum 2018,SFF)」,這是該會議首次在中國舉辦,顯示出三星希望在中國市場獲得更多的晶片代工訂單,以提升其在全球晶片代工市場的份額。

在中國大陸,華為海思是最大的IC設計企業,此前有傳聞稱三星有意以供應給華為優質的中小尺寸OLED面板為條件,吸引華為海思將晶片訂單交給它,對於中國另一家晶片廠商比特大陸,三星也有意向爭取。

在過去很長一段時間,三星只接受高端晶片的代工,然而在中低端市場變得越來越龐大以後,三星也開始改變策略,擴大晶片代工範圍和領域,以爭取更多的市場份額。

確保三星未來與台積電相爭時具有足夠的競爭力。

隨著物聯網、自動駕駛等新興領域的發展,在中國催生了眾多IC設計公司,這些創業企業的訂單更是晶片代工企業的目標客戶,三星自然不會放過。

今年年初,三星宣布開始對外提供成熟的8英寸晶圓代工技術服務,為中小型企業提供多項目晶圓服務(MPW)。

這意味著,三星將不再只滿足於面向高通、蘋果等大型客戶的需求,而是開始進軍中小型企業代工市場。

在對外提供的MPW服務中,三星的8英寸工藝技術解決方案主要在eFlash、顯示器驅動IC、指紋傳感器、RF/IoT等領域,並且在成熟的180nm、130nm、90nm技術之外,還包括了65nm的eFlash,以及70nm的顯示器驅動IC的解決方案。

開放MPW業務,一方面可以解決其產能利用率不足的問題,另一方面,由於台積電在高端晶片代工方面很強大,這讓三星短期內難以完成其設定的占領代工市場25%的目標,因此三星另闢MPW蹊徑,轉戰8英寸成熟工藝市場。

「中國有著較大的8英寸市場,因此三星來中國拓展8英寸業務一點也不奇怪。

在8英寸工藝領域,中國有很多設計公司,雖然不一定能夠幫助三星達到25%的市場份額,但是哪怕達到10%、11%,對於三星來說,也總比沒有強。

」半導體業內專家莫大康說。

然而,在中國,三星的8英寸MPW業務要面對中芯國際和華虹的競爭。

另外,8英寸代工服務方面,不同工藝有不同的應用場合,而且不能只看工藝,也要看設計服務能力,中國8英寸可選擇的代工有很多,三星在這方面的挑戰不小。

張忠謀眼中的

三星晶圓代工

去年,在被問及主要競爭對手三星在技術、市場、規模、營運前景、產業地位時,台積電創始人張忠謀表示,他在5年以前就已經預測到未來三星將會是很強大的競爭對手,但老實說,在10年前可沒想到三星有一天會這麼強。

張忠謀認為,三星的長處是非常有決心、非常有毅力,一旦決定要做什麼事,最高層決定後,整個公司是拚命執行,這是很了不起的一個組織,高層一旦決定下令,全體就會凝聚在一起把目標達成,三星已經證明很多次了。

Foundry行業的企業文化是很重要的,Foundry是「服務」的企業文化,意思就是服務別的公司。

張忠謀指出,因為有了在德州儀器工作的經驗,他很清楚晶圓代工需要什麼樣的企業文化,當創辦一個全新的公司(台積電)時,可以重新塑造這個文化。

這方面三星做的比英特爾好,這就是三星很特別的長處,上面一下指令,下面可以馬上凝聚起來,韓國人就是有這個本領,但這在美國沒有這麼容易,台灣也沒有!台積電之所以可以,是因為一開始就塑造這樣的企業文化。

結語

按照三星晶圓代工業務的發展態勢,成為全球二哥是指日可待的,今年可能是因為業務拆分所致,未來,三星憑藉率先採用7nm的EUV製程,明年有望拿下虛擬貨幣業者的ASIC訂單,並供貨給高通和蘋果,營收有望快速成長,其晶圓代工業務在2019和2020年將很有看頭。


請為這篇文章評分?


相關文章 

蘋果A12晶片將用台積電7nm工藝

蘋果的 iPad 和 iPhone 每年的出貨量都高達數億台,所以能夠打入蘋果供應鏈的公司,沒有一家不賺得盆滿缽滿的。有趣的是,雖然蘋果一直信奉「多供應商」原則,但是近年來,處理器的供應商一直都...

五大挑戰者出擊,台積電還能高枕無憂嗎?

版權聲明:本文由半導體行業觀察整理自網際網路,如您覺得不合適,請與我們聯繫,謝謝。今年十月, 晶圓代工廠台積電董事長張忠謀談及Intel跨足晶圓代工領域,談及Intel此舉是把腳伸到池裡試水溫,...