光刻機領域王者ASML研發新代機型,2025年有望突破1納米工藝

文章推薦指數: 80 %
投票人數:10人

在2019年,台積電和三星都準備量產7納米的EUV工藝了,並且明年也會是5納米工藝的一個重要節點。

要知道,在半導體製造的工藝中,最重要而且最複雜的就是光刻步驟,往往光這部分的成本就能占到33%左右,所以半導體想要有突破性的發展,和光刻機的提升密不可分。

在光刻機這個領域,荷蘭的ASML公司是毫無疑問的王者。

目前最先進的光刻機就是來自這家ASML公司生產的EUV光刻機,每台售價超過1億美元,而且供不應求。

ASML主要業務是光刻機,在光刻機領域處於絕對領先的地位。

在45納米以下製程的高端光刻機市場中,占據80%以上的市場份額,而在EUV光刻機領域,目前處於絕對壟斷地位,市占率為100%,處於獨家供貨的狀態。

阿斯麥的主要客戶為全球一線的晶圓廠,除了英特爾、三星和台積電這三大巨頭之外,國內的中芯國際也是阿斯麥的客戶。

有外媒報導稱,ASML公司目前正積極投資研發新一代EUV光刻機,和往代的相比,新款EUV光刻機最大的變化就是高數值孔徑透鏡,通過提升透鏡規格使得新一代光刻機的微縮解析度、套准精度兩大光刻機核心指標提升70%,達到業界對幾何式晶片微縮的要求。

之前ASML公布的新一代EUV光刻機的量產時間是2024年,不過最新報導稱下一代EUV光刻機是2025年量產,這個時間上台積電、三星都已經量產3nm工藝了。

最後科普一下,阿斯麥的光刻機按照使用的光源不同,可以分為DUV光刻機和EUV光刻機。

DUV是Deep Ultra Violet,即深紫外光;EUV是Extreme Ultra Violet,即極紫外光。

DUV光刻機的極限工藝節點是28nm,要想開發更先進的製程,就只能使用EUV光刻機了。


請為這篇文章評分?


相關文章 

1納米可期!ASML研發第二代EUV光刻機

半導體製造過程中最複雜也是最難的步驟就是光刻,光刻機也因此成為最重要的半導體製造設備。在7nm製程的較量中,台積電之所以能夠領先,一個很重要的原因就是EUV技術,在半導體製造的工藝中,這部分的成...

任你有錢也難買到 ASML研發第二代EUV光刻機

【PConline資訊】半導體製造過程中最複雜也是最難的步驟就是光刻,光刻機也因此成為最重要的半導體製造設備。目前最先進的光刻機是荷蘭ASML公司生產的EUV光刻機,每台售價數億歐元,且需要很長...

製程微縮漸入瓶頸 半導體製造需尋求改變

【天極網手機頻道】在即將過去的2016年,整個IT行業在不斷接受新的挑戰和革新。2016年半導體行業最大的新聞並不是哪家的製程更新了,而是哪家被誰收購了。誠然,在半導體日益受到重視的今天,所有的...

可怕的台積電,一口氣買下5台EUV光刻機

版權聲明:本文內容來自經濟日報,如您覺得不合適,請與我們聯繫,謝謝。巴隆周刊(Barrons)報導,艾司摩爾(ASML)上周公布上季財報亮眼,並宣布已接到新一代極紫外光(EUV)微影機台六部訂單...

3nm爭奪戰正式開打

來源:內容由半導體行業觀察綜合自網際網路,謝謝。昨天,台灣主管部門宣布,台積電3nm工廠環評正式通過,這個總投資規模約200億美元的項目進入了一個新階段。 對於3nm晶圓廠來說,除了技術研發之外...

ASML最新一代EUV設備2025年量產

來源:內容綜合自technews等媒體 當前半導體製程微縮到10納米節點以下,包括開始採用的7納米製程,以及未來5納米、3納米甚至2納米製程,EUV極紫外光光刻技術已成為不可或缺的設備。藉由EU...

5nm太難!台積電5nm帶來的頻率提升僅15%

現在,台積電以及三星已經開始量產7nm,但Intel的10nm仍然在跳票當中。三星和台積電當然不會等Intel,5nm也應該要提上日程了,日前台積電公開了部分5nm工藝製程的相關規格,但看上去並...

三星宣布2018年7nm半導體工藝將量產

【天極網DIY硬體頻道】目前,各大廠商對於製程推進的熱情高漲,尤其是三星和台積電作為製程進程的領軍者,從去年就開始放話,都表示自己將率先發布、量產7nm製程晶片。眾所周知,7納米製程節點將是半導...