先進的晶片封裝技術釋疑

文章推薦指數: 80 %
投票人數:10人

多種晶片封裝技術選擇和命名規則正在導致整個半導體供應鏈陷入恐慌。

先進的晶片封裝技術在各個方向都呈爆炸式增長。

有更多的晶片製造商採用不同的封裝選擇,並且封裝技術本身有更多的選擇,以及所有這些封裝都使用了一系列令人困惑的描述和名稱。

幾年前,呈現在桌面上的晶片封裝技術基本上有兩種選擇,3D-IC和2.5D-IC。

但隨著晶片製造商開始了解設備擴展的難度,成本和利益減少,各種先進的封裝技術才真正開始起飛。

但是,這種方法的越來越成功,同時也造成了一系列問題。

現在2.5D-IC有多種風格,以及多種扇出,扇入,系統級封裝( system-in-package),封裝中封裝(package-on-package),倒裝晶片(flip chips)以及各種不同的橋接技術。

添加到該列表中的是無封裝方法,晶圓級封裝和晶圓上的裸片(die-on-die-on-wafer)。

甚至有人談論面板級別的封裝技術。

TechSearch International總裁Jan Vardaman表示:「問題在於,先進封裝的排列方式如此之多,基本上是無止境的。

「它曾經是wirebonds(壓焊,也稱為綁定,鍵合,絲焊)和leadframes(引線框, (雙列直插式)焊接框架)。

而現在我們有面陣列和晶片規模。

該封裝可以是含鉛的,或者外圍設備也可能是含鉛的。

在陣列中,您可以使用BG(球柵,ball grid),CSP(晶片級封裝,chip-scale package),晶圓級和大量扇出等。

這裡有一個大問題,因為選擇太多了。

Vardaman在這方面的支持越來越多。

「許多公司都想為這項技術提供特定的名稱或品牌名稱,」ASE研究員兼高級技術顧問William Chen說。

「這導致很混亂。

我們有許多不同的技術和微調差異,但是我們不需要將這種困惑融入到系統中,而且隨著我們的前進,我們需要將其納入框架並最終採用標準方法。

這就是為什麼ASE試圖儘可能不要發明新名稱和術語的原因。

更好的定義之路

擁有一長串封裝選項並不一定是壞事,因為它可能會導致晶片製造商和原始設備製造商開發更多定製的多晶片解決方案。

但是對命名的約定太多則是完全另一回事。

事實上,IEEE已經開始提出約束圍繞2.5D的術語,其中有使用有機插入器(organic interposers)2.1D,使用橋接器(bridges)的2.7 / 2.75D。

還有2.5D的並排和垂直實現,以及中介層上的支柱。

IEEE的路標作者和封裝行業專家Raja Swaminathan表示,IEEE目前正在努力在2DO下推出所有2.x命名法,用於晶片優先和晶片最終的有機連接器,以及2DS,用於無源矽基封裝,帶或不帶TSV。

「與背靠背相比,封裝上的密度更高,」Swaminathan說。

「但是我們的目標是讓這些體系結構統一到一個整體中,以便您可以定義這些體系結構的含義,然後比較不同的指標。

圖1:2DO與2DS。

來源:IEEE / Swaminathan

IEEE在上個月在聖地亞哥舉辦的IEEE電子元器件和技術會議(ECTC)上介紹了這一概念。

「需要將所有這些都放在一個框架中,」英特爾研究員拉維馬哈詹說。

「有了任何新的架構,你需要一種方法來挖掘它。

如果框架的定義足夠好,則需要在整個行業達成共識。

所以如果你看光子學,那裡有一套相當不錯的論文。

但其中一些使用矽插入器,一些使用橋接器,一些使用有機插入器,這些插入器正在迎頭趕上。

密度存在差異。

我們也看到玻璃中介板進入市場。

圖2:多種選擇,更多的途徑

圖2:多種選擇,更多的途徑。

(Swift,SLIM是Amkor的商標; FoCoS是ASE的商標; CoWoS和InFO是TSMC的商標。

)來源:IEEE / Swaminathan

但所有這些至少可以組合在一起,這是代工廠和封裝公司正在嘗試做的事情。

而在過去,其中的大部分都是專門開發的,幾乎不用擔心命名。

這很大程度上是因為先進的封裝不在主要事件的邊緣,這是每個新工藝節點的功能縮減。

但在10 / 7nm及以上,縮放的好處正在降低,而成本卻在上升。

即使採用新的電晶體結構,過去工藝節點縮放所帶來的收益也不再可能實現。

三星公司設備解決方案事業部執行副總裁兼代工業務總經理ES Jung表示:「在14nm之前,每個節點的性價比提高了30%。

「從14nm到10nm,有超過20%的性價比改善,而在10nm以下的不到20%。

在3納米處,有大約10%的改善。

先進的封裝技術沒有可比較的測量,因為許多實施都是定製的。

但是,性能和功耗提高30%的報告並不少見,這就是為什麼所有高速網絡和一些新的伺服器晶片都採用先進的封裝技術。

甚至自從首次推出摩爾定律的守門人之後,英特爾就開始使用其嵌入式多晶片互聯橋(EMIB,Embedded Multi-die Interconnect Bridge)提供先進的封裝選項,以縮短晶片上各種組件之間的距離並擴大信號通道,這既提高了性能,也降低了驅動信號所需的功率。

對於有更多數據需要應對的應用例如人工智慧和機器學習來說,這變得尤為重要。

「使用人工智慧(AI),關鍵的衡量因素是每個功能的成本,」GlobalFoundries公司CMOS平台業務部副總裁兼總經理Subramanian Kengeri說。

「這很大程度上是2.5D和3D封裝的,異構整合集成是每個人都希望看到的。

最終的技術聖杯將是能夠將所有東西都整合到單片SoC上,但是當您從舊工藝節點中添加MRAM時,並沒有單個技術節點允許您添加所有功能。

所以你將會使用多個晶片,而你想要做的就是採用最少的晶片,並用一些封裝技術將它們集成在一起。

不同的方法

這是一個架構挑戰,不同的公司正在使用不同的體系結構和組件,具體取決於他們試圖實現的目標以及他們正在使用的數據類型。

例如,Facebook更關注圖像處理和識別,而Google則更關注搜索數據。

沒有任何兩個問題是完全相同的,這反映在軟體包中。

有些更關注散熱,其他則關注性能或者功耗,或者所有這些的組合。

結果是沒有兩種封裝是完全相同的。

「先進的封裝平台為從移動應用到高性能計算的各種應用提供解決方案,」Lam Research先進封裝客戶運營總經理Manish Ranjan說。

「IDM,代工廠和OSAT客戶已經為不同的終端應用開發了各種集成方案。

有幾種技術平台解決方案,如扇出式晶圓級封裝,矽中介層和TSV將繼續共存於不同的終端市場中。

台積電通過將InFO(集成扇出,Integrated Fan-Out)或CoWoS(晶片在襯底上的晶圓上,Chip On Wafer On Substrate)標題下的所有內容組合在一起來避開了這個問題。

其他代工廠和OSAT也開始提供自己的品牌術語。

但搞清楚一公司的封裝術語與另外一家公司的封裝術語之間區別並不是一件輕而易舉的事情,特別是對於專用解決方案來說。

這是DARPA一直在為軍事和商業應用推出小晶片的原因之一。

公司可以快速構建定製晶片的想法是一個有趣的概念,但是在有來自各種不同的IP供應商的足夠多的選項可供選擇之前,這個想法距離實現還有一段時間。

儘管如此,越來越多的晶片製造商正在爭奪這一選項。

「小晶片的優勢之一就是你不必說出你使用的是什麼樣的封裝,」開發晶片到晶片鏈路和SerDes晶片的Kandou Bus公司執行長Amin Shokrollahi說。

「人們正在討論實現它們的方式,而不是實際上把事情放在一起。

真正的關鍵是你有多個晶片在一些封裝中連接在一起。

這可以解決分類問題,因為您首先在尋找更高的層級。

這就像試圖將一輛敞篷車與一輛SUV進行比較。

雖然他們仍然都是汽車。

Marvell的網絡首席技術官兼高級總監Yaniv Kopelman表示,他在過去幾年一直在推行這種方法。

2015年,Marvell推出了其模塊化晶片架構,也被稱為MoChi。

該想法是從選項菜單中進行選擇,然後使用互連結構將其打包在一起。

「過去兩年來,我們一直在試圖說服人們這是要走的路,」科佩爾曼(Kopelman)說。

「由於需要支持大量的接口,特別是對於機器學習和人工智慧等應用來說,這已成為最近的重大話題之一。

真正關鍵的是所有的OSAT已經知道如何做到這一點,所以模具的數量和位置沒有限制,而且它具有成本效益和開放性,它現在使用USR介面,許多其他解決方案都是半專有的。

Marvell最近一直在支持用於加速器的緩存一致性互連(CCIX),用於連接各種組件。

「關鍵在於這是已知的技術,而不是新的專有接口,」Kopelman說。

「專有接口成本更高。

它們還增加了從扇出到2.5D再到系統級封裝的困惑。

Lam Research高級封裝副總裁Choon Lee表示:「高密度扇出解決方案正在為移動和某些高性能計算領域獲得推動力。

「管理翹曲和總收益對於以經濟高效的方式提升下一代扇出解決方案至關重要。

預計高密度扇出應該也可以使系統級封裝(SiP,system-in-package )格式的混合管芯集成成為可能。

圖3:CCIX架構。

來源:ccixconsortium.com

3D-ICs

儘管3D-IC在這十年的大部分時間裡都處於描繪藍圖階段,但這方面的進展很少。

由於散熱方面的考慮,初始的3D-IC設計被拒絕。

邏輯上的邏輯會產生太多的熱量,因此夾在其他處理器和內存之間的處理器將不得不關閉,而且將單獨的晶片添加到不同類型的封裝中時系統效率會降低。

然而,從散熱的角度來看,邏輯上的存儲器已經被證明是一個可行的方案,並且業界正在開發利用該模型的晶片 - 尤其是內置可編程元件的晶片。

這可能包括嵌入式FPGA,多個DSP元件或者兩者的某種組合。

這樣做的價值主張是它可以防止封裝中的多個晶片性能退化。

3D-IC看起來似乎很少有混淆,部分原因是它們是一種全功能的解決方案。

雖然它們可以以不同的方式構建,但到目前為止,3D-IC分類法的變化很小。

儘管如此,3D-IC在選定的處理器供應商群體中是一個高度競爭的戰場。

雖然今天有多家公司正在開發它們,但只有內存供應商願意談論它們。

圖4:採用矽通孔的3D-IC方法。

來源:Mentor,西門子業務

結論

關於命名慣例的擔憂以及產生這些擔憂的困惑,表明市場正在先進的封裝中升溫。

當蘋果推出iPhone 7時,它是第一家採用扇出式封裝的大公司。

從那時起,扇出(fan-outs )以及幾乎所有其他產品的多個早期版本都成為主流。

晶片發展的技術路標

與大多數新技術和新技術方法一樣,公司在探索每一種可能的排列方式之後,都會發現最初的爆發,以找出哪裡是最適合,哪些最適合的技術具有最好的價格。

這幾乎肯定會導致一個剔除階段,在這個階段,架構選擇的數量會減少,並且爭奪這個市場的公司數量會減少。

但這個過程還有可能還有幾年的時間,並且公司在短期內如何成功定義分類標準並不是十分清楚。

顯而易見的是,不管它如何被分類,先進的封裝技術在這裡將會被留下來。

(完)


請為這篇文章評分?


相關文章 

晶片的未來:繼續縮小OR改變封裝?

來源:本文由半導體行業觀察翻譯自 semiengineering ,謝謝。隨著流程趨於完整,工具不斷精進和在市場上獲得認可,先進封裝正在成為主流。隨著在單個die上集成各式各樣的功能模塊(部件)...

擴展IC晶片技術的發展路標

Imec的An Steegen將先進的封裝技術視為IC晶片未來擴展的重要組成部分,包括新的橋接技術。Imec公司半導體技術和系統執行副總裁Steegen接受媒體採訪:與半導體工程公司(下面簡稱S...

IC CHINA 2015展商巡禮:蘇州晶方半導體

IC China 2015及同期活動第86屆中國電子展、亞洲電子展將於11月11日-13日在上海新國際博覽中心隆重舉行。屆時,蘇州晶方半導體科技股份有限公司將攜帶多款業內頂級封裝產品亮相本次展會。

前進5納米:台積電最新技術藍圖全覽

持續同時朝多面向快速進展的晶圓代工大廠台積電(TSMC),於美國矽谷舉行的年度技術研討會上宣布其7納米製程進入量產,並將有一個採用極紫外光微影( EUV)的版本於明年初量產;此物該公司也透露了5...