半導體自主可控行業全景研究

文章推薦指數: 80 %
投票人數:10人

(溫馨提示:文末有下載方式)

1 構建中國芯,半導體中長期邏輯看自主可控

華為事件敲響半導體自主可控的警鐘,科創板對硬科技的重點聚焦,我們認為自主可控將演繹半導體行業的長期價值。

我們自上而下梳理了整個半導體產業鏈(設備、材料、製造、設計),詳細分析了每個產業鏈環節國內外的技術差距以及自主可控程度。

設備端:我國半導體設備整體國產化率不足 20%,國產化程度相對較低。

同時光刻機仍停留在 90 納米工藝水平,與海外設備商技術差距巨大。

但是近年我國的半導體設備國產化替代不斷加快,半導體設備自上而下都已進行了系統部署,多款集成電路設備製造已實現從無到有、從低端到中高端的突破。

例如北方華創完成了除光刻機外幾乎所有前道核心設備的布局,中微半導體 7 納米刻蝕設備打入台積電生產線。

材料端:目前半導體核心材料的主要供應商均為海外廠商,且壟斷局面顯著,例如:矽片的前五大廠商占據超過 90%的市場份額,封裝基板方面,日韓廠商占據接近 80%的市場份額。

由於國家政策支持、大基金扶持以及晶圓廠向中國轉移的行業大趨勢,國產半導體材料競爭力加強,產業梯隊趨勢明顯。

第一梯隊中的靶材、封裝基板等國產材料中,部分技術標準達到全球一流水平,本土產線已基本實現中大批量供貨。

在靶材方面,國內企業江豐電子已經具備較強的競爭力,產品已經打入主流國際市場。

製造端:邏輯晶片代工方面存在「兩頭在外」的產能不足、先進位程落後世界先進水平等問題,但是我們也看到以中芯國際為首的代工廠已經進駐 14 納米 FinFET 先進位程世代,與台積電等巨頭製程差距不斷縮小;存儲晶片製造方面,90%以上的市場份額被海外巨頭壟斷,以長江存儲和合肥長鑫為首的國內存儲廠商率先對存儲市場發起了衝擊。

長江存儲 64層 3D NAND 快閃記憶體晶片量產顯著爬升,預計年底量產;合肥長鑫預計 2019 年末可實現每月生產 2 萬片 DRAM 的產能目標,2021 年完成對 17nm 工藝技術的研發。

設計端:我國的半導體設計企業規模較小,缺乏全球性的設計巨頭,同時晶片架構需要依靠海外 IP 廠商授權,同時,EDA 軟體也被 Cadence、Synopsys 以及 Mentor 等海外廠商壟斷。

近年來我國半導體設計市場增速領先行業平均水平,IC 設計已經超越封測業成為國內半導體產業鏈中比重最高的環節。

同時,以華為海思為首的晶片設計商進入全球 Top 20 IC企業,自行設計出 SoC 晶片、基帶晶片、伺服器晶片等,未來有望在 5G 和 ASIC 晶片領域實現彎道超車。

1.1 我國晶片貿易逆差巨大,半導體產業結構失衡

一直以來,晶片行業都是我國的短板之一,除了移動通信終端和核心網絡設備有部分集成電路產品占有率超過 10%外,包括計算機系統中的 MPU、通用電子系統中的 FPGA/EPLD 和 DSP、通信裝備中的 Embedded MPU 和 DSP、存儲設備中的 DRAM 和 Nand Flash、顯示及視頻系統中的 Display Driver,國產晶片占有率都是 0。

目前晶片產業面臨的高端產品對外依賴度較高、人才短缺及產業集中度不高等問題暴露無遺,提高晶片國產化迫在眉睫。

中國一直是電子產品生產的集中地,因而也是全世界最大的半導體產品消費國家。

2017年,中國對半導體的需求約為 1892 億美元,占全球半導體市場的 44.1%。

中國集成電路市場近年來一直在快速增長,且隨著國內 5G 通信、物聯網等前沿應用領域快速成熟,國內集成電路市場需求將進一步提升。

中國雖有著全球最大的半導體市場,但集成電路設計企業的主流產品仍然集中在中低端,與國外企業差距巨大。

根據 IC 前沿戰略評估資料庫數據,2017 年營收規模前十的半導體企業中,無一家屬於中國,而美國多達 5 家,是名副其實的晶片霸主。

我國集成電路需求中很大比例仍需依靠進口來滿足。

根據海關總署數據,近年來我國的集成電路年進口額都超過 2000 億美元,2017 年更是高達 2601 億美元,但是出口額卻只有669 億美元,2017 年進出口貿易逆差達到了 1932 億美元。

國內快速膨脹的集成電路需求,使得加速實現集成電路產品的進口替代顯得尤為迫切。

雖然目前國內集成電路自給率儘管仍處於低位,但這一比例正呈現逐年上升態勢。

從我國近十年來集成電路產業銷售結構來看,低端封測業市占率越來越低,從 2008 年的 50%一路下降到 2017 年的 38%,取而代之的是設計端銷售占比大幅提升,從 2008 年的18%上升至到 2017 年的 34%,晶片製造端呈現占有率先大幅下滑繼而又逐漸上升的態勢。

從技術角度來看,晶片設計的技術含量要遠高於封測端,因此,近十年來,我國的集成電路銷售結構已經由封測為主過渡到設計、製造、封測相對均衡的局面。

雖然近十年來我國集成電路三業(封裝、製造、設計)齊頭並進,集成電路產業結構趨於優化,但目前還是以晶片設計和封裝測試為主,在集成電路晶圓製造領域,國內短板尤為突出。

從全球集成電路產業現狀和發展經驗來看,一般集成電路設計、製造和封測的價值量比例為 3:4:3,根據前瞻產業研究院數據,我國 2017 年集成電路製造商產值僅為 27.2%,遠低於設計端的 34.4%和封測端的 38.4%。

並且,我國的半導體製造企業中三星、英特爾等外資製造商營也有擁有很高的營收占比,因此本土的製造端短板更為突出。

根據微笑曲線理論,晶片設計的附加價值比較高,營銷服務次之,最後才是生產製造。

因此我國的半導體設計市場近幾年發展速度迅速,2017 年設計市場規模已經超越封測,成為我國半導體行業最大的細分市場。

半導體封測業雖然附加值較低,但是技術壁壘較低,是實現半導體國產化的重要突破口之一,因此 2017 年以前封測業一直是我國最大的半導體市場,近幾年從增長速度上來說略低於設計和製造。

半導體製造在我國半導體領域比重最小的主要原因在於其較高的技術壁壘和較低的附加值,一個晶圓廠從規劃到投入生產使用大概需要兩年的時間,後期還要經過產能爬坡和良率提升階段,另外,建設一條 12 英寸晶片生產線的投資已經很高,少則 30-50 億美元,其中僅半導體設備的投資占 70%以上。

除了時間和資金成本外,晶圓代工廠還要面臨巨額的設備折舊和世界巨頭價格戰的打壓,因此我國半導體製造業發展速度較為緩慢。

1.2 從手機產業鏈看半導體行業的自主可控

智慧型手機是近十年移動互聯時代最重要的終端之一,也是繼計算機之後含矽量最高的產品之一。

智慧型手機滲透率的提升帶來處理器、存儲器、射頻晶片、DSP 晶片等一系列產品需求的增加,也推動整個半導體產業邁向下一個全新的景氣周期。

近十年來,中國誕生出 OPPO、VIVO、華為、小米等眾多全球知名智慧型手機製造商,其中,華為掌握了 CPU 處理器、基帶晶片、射頻收發器等方面的核心技術,擁有較強的自主供給能力。

根據恆大研究院的研究結果顯示:智慧型手機由晶片、顯示屏、攝像頭、功能鍵、結構件、被動元件和其他部分構成,其中晶片(35%-50%)、顯示屏(10%-20%)、攝像頭(10%-13%)三類零部件成本占比較高。

下面以華為手機 P30 為例,分析手機晶片的國產化率情況。

隨著中興事件的爆發,國內半導體科技企業開始意識到,只有真正地掌握「核心」科技,才能不受制於人。

特別是在智慧型手機領域,雖然晶片看似非常小,但晶片卻是手機功能升級的源動力,並且需要非常漫長和複雜的過程來進行研發。

在當前國產手機中,無論是核心處理器還是周邊小器件 IC,主要都是依靠進口晶片為主。

即使在國產手機品牌迅速進行海外擴張的當下,也只有極少數的國產 IC 產品能夠進入到主流品牌旗艦機型的供應鏈中。

在市場競爭愈發激烈的情況下,華為手機業務之所以能夠實現跨越式發展,很大程度上來自於它的「芯」優勢。

從華為 P30 的 BOM 表中可以看出:華為 P30 的晶片總成本為 127.78美元,其中國產晶片為 73.2 美元,占比高達 57.3%;華為的自主晶片在 CPU、基帶、Wi-Fi、射頻收發器、電源管理等關鍵晶片上都實現了國產自主供給,然而在射頻模塊、模擬前端、存儲晶片領域仍依賴國外的 IC 晶片。

通過對華為 P9、Mate20、P30 進行比較來探究手機晶片的國產化進程:

在 SoC 方面,晶片一直由華為自主供給。

從 P9 到 P30,華為手機處理器從海思麒麟 955發展到了運用 7nm 工藝的海思 980,並一直搭載著自主研發的 Balong 基帶晶片。

在存儲晶片方面,國內廠商一直未能實現自主供給。

對於 DRAM,華為 P9 的供應商為三星,Mate20 為東芝,P30 為美光;對於 NAND,華為的三款手機均由 SK 海力士供貨。

在射頻 IC 和前端模塊方面,國產化率情況未發生顯著變化。

華為 P9 的供應商主要為Qorvo、Skyworks、MURATA、海思等; Mate20 主要由海思和 Skyworks 提供;P30 主要由海思、Qorvo 提供。

在指紋晶片方面,供應商未發生變化,國產化率沒有變化。

對於屏下指紋晶片,從 P9 到P30,華為手機一直選擇匯頂科技作為其供應商,保持著穩定的國產化水平;對於指紋控制器,華為一直選擇意法半導體的相關模組。

在電源管理晶片方面,國產化率有所提升。

華為 P9 由德州儀器和海思提供;Mate20 和P30 只由海思提供。

在 NFC 控制方面,華為 P9 未配備 NFC 技術,華為 Mate20、P30 一直用恩智浦的晶片。

1.3 中國晶片行業迎歷史性機遇,攻克三大難題成發展關鍵

半導體產業鏈從上游至下游都誕生出了一大批知名企業,比如半導體設備巨頭應用材料、半導體材料龍頭信越化學、IC 設計龍頭高通、製造龍頭台積電、存儲晶片龍頭三星等。

從2017 年半導體銷售額上看,三星實現收入 656 億美元,位居全球第一,英特爾收入略低於三星,位居第二,台積電 2017 年半導體收入不及三星的一半,位居全球第三。

根據 2017年全球半導體企業銷售額前十名可以看出,全球半導體巨頭主要集中在美國、日本、台灣等地。

除了美光等存儲廠商外,美國本地主要是英特爾、高通、英偉達等偏向半導體設計類的公司,而韓國、日本、台灣主要是一些重資產投入類的製造企業。

就現階段而言,中國半導體領域面臨三大核心難題,分別是製造、設備、材料。

設備和製造相互耦合,因為沒有先進的設備不可能有先進的製造技術,但是擁有先進的設備不代表就能擁有先進的製造技術,因此設備只是製造的一個必要不充分條件,除了設備以外,半導體製造更重要的是設備間的工藝協調性,亦或是一種經驗性的試錯。

由於半導體製造良率直接攸關代工廠最終的效益,因此半導體製造既是一個技術密集型產業也是一個經驗密集型企業。

目前我國在設備生產端與國外先進設備商還有較大差距,雖然我國目前也已經初步建立起整套完善的半導體設備體系,但是目前還集中在一些成熟製程領域或者後道封測領域。

從半導體製造市場來看,我國的半導體製造產能也已經達到全球產能的 10%以上,本土製造企業也已經超過 5%以上,但是主要還是集中在一些特色工藝領域,對 16nm 及以下主流工藝尚未涉足。

對於半導體材料而言,國產矽片商由於在大尺寸的矽片方面達不到集成電路所需要的精度,因此還主要集中在太陽能、LED 能較為低端的應用領域。

1.4 半導體自主可控應當至少在產業鏈某一環節擁有較強話語權

半導體產業鏈長,包含上游材料、設備、設計、製造、封測、下游應用等多個環節,而且上游和中游技術要求高,投資大,因此整個半導體產業鏈具有高度的專業分工,但在各個子環節又具有出高度集中的特性。

世界上沒有一個國家和地區可以高度壟斷整個半導體產業鏈,美國作為半導體強國,也僅僅強在設備端、設計端以及存儲,在半導體代工製造、材料領域仍需要外協合作。

台灣擁有台積電和聯電等代工廠,幾乎占據了全球代工 60%的產能,在材料、存儲、設計等領域也有所涉獵,但是半導體設備卻是台灣一大短板。

日本擁有全球 50%的矽片生產產能,在半導體設備端亦擁有東京電子、愛德萬這樣的設備巨頭,但是卻不曾擁有一家全球知名的半導體製造商。

同樣,韓國擁有三星和海力士這樣的半導體巨頭,奠定了存儲、製造、設計強國的地位,但是設備端也不曾布局。

不同國家或地區分工協同整合半導體產業鏈是全球化經濟的常態,半導體產業雖然具有國家戰略高度,但它歸根結底還是一個產業,一個產業能夠持續生存以及強大的基礎就是可以持續盈利。

因此,不同國家或地區或根據自身的情況選擇發展半導體產業鏈中的一個或多個環節。

因此,半導體產業的自主可控應當是在某一環節擁有較強的話語權或者實現壟斷地位。

目前看來,儘管我國已經擁有了較為完善的半導體產業鏈,但是還沒有在任何一個環節擁有較強的話語權,所以自主可控程度相對較低。

目前中國擁有全球最大的半導體市場,全球建廠熱潮已經開始往中國轉移,同時國家也在資金、政策方面大力發展半導體等硬科技行業,因此半導體自主化程度的提升是我國未來半導體行業的發展重心。

2 半導體設備——美日高度壟斷,刻蝕設備展先機

半導體設備是集成電路產業鏈中最核心的生產基礎,兼具資金密集與技術密集的特點,技術門檻高、資金投入大、回收周期長,進入壁壘很高。

目前大多數核心設備都被國際巨頭壟斷,但在一些細分市場國產設備廠商已經取得突破,例如北方華創、中微半導體的刻蝕機,盛美、至純的清洗機已進入產線驗證,長川科技的後道檢測設備已實現國產替代。

2.1 晶圓廠資本支出近 80%用於半導體設備購置

從加工工藝來看,集成電路製造主要的工藝流程包括晶圓清洗、光刻、刻蝕、離子注入、薄膜沉積等。

晶圓清洗是去除每一次加工中帶來的雜質污染,幾乎所有製程前後都需要清洗,清洗工藝約占所有步驟的 30%。

光刻工藝的主要作用就是將掩膜板上的圖形複製到矽片上面的光刻機上,為下一步進行刻蝕工序做好準備。

光刻工藝是 IC 製造過程中最難的一道工序,對技術要求和設備投入是所有加工工藝中最高的,差不多占到總設備投入的 30%,且耗時最長,約占到整個矽片工藝的 50%。

刻蝕工藝利用顯影后的光刻膠圖形作為掩模,在襯底上腐蝕掉一定深度的薄膜物質,隨後得到與光刻膠圖形相同的集成電路圖形。

薄膜製備工藝是在晶圓片上覆蓋數層不同材質與厚度的薄膜,然後通過光刻和刻蝕工藝形成特定的結構。

離子注入工藝是在半導體中注入雜質原子(如在矽中注入硼、磷或砷等),可改變其表面電導率或形成 PN 結。

IC 製作之後進入 IC 封測環節,通過切割、貼片、裝片、測試、引線鍵合、模塑等等一系列操作後,進行成品的測試。

設備需求主要集中在 IC 製造環節,其次是 IC 封測。

設備投資約占整體投資的 70-80%。

在設備投資中,IC 製造環節占比 80%,封裝測試占比 10%,前端設備占比 5%。

在 IC 製造中,最主要的核心設備是薄膜沉積設備、光刻機、刻蝕機等,規模分別占設備投資的 25%、30%、20%, 其它輔助設備包括化學機械拋光設備、光刻膠設備等,占設備投資的 10%。

根據 SEMI 統計數據,2017 年全球半導體設備支出達到 570 億美元,較上半年的預測金額增加 20.7%,同比增長達 38%,主要動能來自存儲器與晶圓代工增加投資,明年支出預

估也從 500 億美元上修至 630 億美元,可望連續 2 年創新高紀錄。

2017-2020 年間,全球將有 62 座新建晶圓廠投入營運。

62 座晶圓廠中,7 座是用於研發的晶圓廠,其餘 55 晶圓廠都將用於量產。

以地理區來看,中國大陸將有 26 座新的晶圓廠投入建設與營運,占新增晶圓廠的比重高達 42%,將直接帶動大陸近 3 年設備支出的大幅成長。

雖然我國已經成為全球第二大半導體設備市場,僅次於韓國,下游市場對半導體設備需求也極度旺盛,但是國產設備的自給率程度卻很低。

2018年我國半導體設備進口金額為112.3億美元,國產設備產值 15.9 億美元,自給率僅為 12%。

2.2 半導體設備市場集中度高,美日廠商技術領先

目前全球集成電路專用設備生產企業主要集中於歐美、日本、韓國和我國台灣等,以美國應用材料公司(Applied Materials)、荷蘭阿斯麥(ASML)、美國拉姆研究(Lam Research)、日本東京電子(Tokyo Electron)、美國科磊(KLA-Tencor)等為代表的國際知名企業起步較早,經過多年發展,憑藉資金、技術、客戶資源、品牌等方面的優勢,占據了全球集成電路裝備市場的主要份額。

2016 年全球半導體專用設備前 10 名製造商銷售規模占全球市場的 81%,市場集中度高。

半導體設備技術更新周期短,因此該行業具備技術壁壘高,市場壁壘大,客戶認可壁壘高等特徵,因此多數半導體設備細分市場出現一家獨大或者全球前三大設備商市場占有率超過 90%的局面。

例如光刻機市場阿斯麥全球市場占比 83.1%,加上日本的尼康和佳能,前三大全球占比 94.4%;PVD 設備市場,應用材料全球占比 74.4%,算上 Evatec 和 Ulvac,前三大全球占比 92.5%;刻蝕設備市場,拉姆研究全球占比 45.8%,連同東京電子和應用材料,前三大全球占比 93.9%;離子注入設備市場,應用材料、亞舍利科、SMIT 三者合計全球市場占比 96.6%;CMP 設備市場,應用材料、Ebara、東京精密三者合計全球市場占比 98.6%。

2.3 半導體設備國產替代空間巨大,國內正加快技術突破

我國半導體設備整體國產化率不足 20%,國產化程度相對較低。

目前中國前道半導體設備國產化率低於 20%,後道封測端多款設備已經實現了國產化替代,整體國產化率要遠高於前道設備。

國內半導體設備商起點落後海外設備商 20 年,但近年來技術差距逐年縮小。

早在 20世紀 50 年代美國便開始了集成電路生產工藝的研究,因此美國的半導體設備起步最早。

隨後在美國崛起了一大批優秀的半導體設備商,比如應用材料(AMAT)和拉姆研究(LRCX)。

中國半導體設備起步較晚,21 世紀初才開始成立七星電子、中微半導體、上海微電子等國產設備商,因此與國際設備龍頭在起點上有著 20 多年的差距。

近年來國產半導體設備快速發展,疊加政府的強力扶持,國產半導體設備與國際先進技術水平的差距在逐年縮小。

以 02 專項實施最早的矽刻蝕機為例,於 2003 年啟動時,與國外相差 20 多年的差距;2007 年北方華創的 8 英寸等離子刻蝕機研發成功,將國內外技術差距縮減為 8 年;2011 年 12 英寸矽刻蝕機將工藝水平推進至 40 納米,此時技術節點落後國際水平 6 年。

2016 年 14nm 的刻蝕機進入生產線時,技術差距基本縮小到 2-3 年。

《中國製造 2025》規劃中明確提出:在 2020 年之前,90-32 納米工藝設備國產化率達到 50%,實現 90 納米光刻機國產化,封裝測試關鍵設備國產化率達到 50%;在 2025 年之前,20-14 納米工藝設備國產化率達到 30%,實現浸沒式光刻機國產化;到 2030 年,實現18 英寸工藝設備、EUV 光刻機、封測設備的國產化。

隨著國家對半導體設備的戰略重視,目前我國的半導體設備國產化替代不斷加快,國內正慢慢培養出一批優秀的半導體設備製造商,如專注前道設備的北方華創、中微半導體、至純科技、盛美半導體以及專注後道設備的長川科技。

目前,我國集成電路設備製造已實現從無到有、從低端到中高端的突破,半導體設備自上而下都已進行了系統部署,未來將在高端製造設備上不斷縮小與國外的差距。

經過多年的自主研發,光伏、LED、先進封裝等設備國產化水平較高,集成電路設備工藝覆蓋率仍在持續提升中。

在光伏領域,國產設備已經成為國際主流,具備整線配置能力;在 LED 領域,刻蝕機、PVD 等設備國產化率高達 80%,最核心的 MOCVD 設備也實現國產大批量供貨;在先進封裝領域,國產設備採購額比例也達到 79%;在集成電路領域,邏輯晶片工藝覆蓋率持續提升(28 納米-16%;40 納米-17%;65/55 納米-31%),存儲晶片國產設備工藝覆蓋率約 15%-20%。

從半導體設備各細分市場來看,刻蝕設備國產化進程最快,中微半導體的介質刻蝕設備已達到 7 納米工藝節點,成為台積電 7 納米產線刻蝕設備 5 家供應商中唯一一家國產設備公司。

北方華創 28 納米矽刻蝕設備已經量產,16/14 納米矽刻蝕設備進入國內主流生產線驗證。

在鍍膜設備領域,北方華創的 PVD、LPCVD 目前已經進入 14 納米生產線驗證,進展順利。

在清洗機領域,2017 年盛美半導體 TEPO(電氣泡震盪兆聲波清洗技術)機型已經在華力微電子等五家半導體製造商中進行產線測試,預計將在 2020 年大範圍推廣。

差距最大的是光刻機領域,目前阿斯麥的 EUV 光刻機工藝製程達到 7 納米及以下,被台積電、三星等代工巨頭大規模採購,而我國的上海微電子的光刻機仍停留在 90 納米量產的水平,因此在光刻機技術方面我國還有很長的道路要走。

3 半導體材料——自主化程度低,細分市場獲突破

半導體材料行業具有技術門檻高、成本占比低的特性,目前,半導體核心材料的主要供應商均為海外廠商,且壟斷局面顯著,例如:矽片的前五大廠商占據超過 90%的市場份額,封裝基板方面,日韓廠商占據接近 80%的市場份額。

但近年來由於國家政策支持、大基金扶持以及晶圓廠向中國轉移的行業大趨勢,國內半導體材料行業市場規模及技術水平都實現了巨大發展。

靶材、封裝基板等國產材料中部分技術標準達到全球一流水平,本土產線已基本實現中大批量供貨,江豐電子、雅克科技、江化微、晶瑞股份等國產材料企業競爭力逐步提升。

3.1 位於產業鏈上游,產業規模大、細分行業多

半導體材料行業處於半導體行業的產業上游,其具備產業規模大、細分行業多、技術門檻高、成本占比低四大特性:1)產業規模大:2018 年全球半導體材料市場規模達 519 億美元,占整個半導體產業整體規模的 11.1%; 2)細分行業多:半導體材料是半導體產業鏈中細分領域最多的產業鏈環節,細分行業多達上百個;3)技術門檻高:半導體材料的技術門檻一般要高於其他電子及製造領域,其具備純度要求高、工藝複雜等特徵,並且對於不同晶片的特殊性能要求,半導體材料的相關參數也有所不同;4)成本占比低:由於半導體材料的細分子行業眾多且不同工藝環節所需材料多樣,使得單個細分材料往往在半導體生產成本中占比較低。

半導體材料主要包括晶圓製造材料和封裝材料,其中,晶圓製造材料包括構成晶圓的材料和晶圓代工所需的化學氣體和試劑等,主要有矽片、光刻掩膜版、光刻膠、光刻輔助試劑、電子氣體、工藝化學品、濺射靶材、CMP(化學機械拋光)材料和其他材料等;封裝材料指晶圓封裝過程中用到的各類材料和工具,包括框架、基板、陶瓷封裝材料、封裝樹脂、鍵合金屬線、晶片粘接材料等。

先進的封裝業務和代工廠是推動一個地區材料市場發展的重要因素,其中,北美的材料市場則以晶圓製造材料為主,世界其他地區以封裝材料為主。

台灣連續八年保持在材料市場第一,其次是中國大陸、韓國、日本、世界其他地區、北美和歐洲。

隨著中國大陸半導體製造業的增強,中國半導體材料市場規模穩步上升,2018 年中國大陸的材料市場占比16.2%,已成為全球第三大半導體材料市場。

全球半導體材料市場基本保持著上升趨勢,2018 年全球半導體材料市場規模達 519 億美元,同比增長 10.1%,占整個半導體整體規模的 11.1%,其中,晶圓製造材料市場占比 62.1%,封裝材料占比 37.9%。

從 2015-2018 年,晶圓製造材料的市場份額持續上漲且增速不斷加快,封裝材料的市場份額基本不變且增速維持在-5%~5%區間內。

從 2018 年晶圓製造材料的細分市場來看,矽片的市場份額占比最高(37%),其次是電子氣體(14%)、光罩(13%)、光刻膠(6%)等。

從 2018 年封裝材料的細分市場來看,封裝基板的市場份額占比最高(33%),其次是引線框架(17%)、鍵合線(15.8%)、封裝樹脂(14.6%)等。

3.2 核心材料自主化程度低,海外廠商壟斷性高

由於半導體材料行業具有技術門檻高、成本占比低的特性,我國半導體廠商在起步較晚的情況下,難以選擇合適的細分領域切入,致使國內半導體材料企業呈現出數量少、規模小、技術水平低等特點。

當前,我國的半導體材料產業主要有五個問題:(1)我國產業基礎弱,核心器件國產化率低,加工技術和工藝水平與國際領先廠商差距較大。

(2)由於起步較晚,我國缺乏熟悉硬體、軟體、工藝加工等多領域的複合型骨幹人才。

(3)半導體材料門檻較高、驗證周期長,導致國內產品難以打入代工企業供應鏈。

(4)產業鏈上下游聯動不足,材料與製造企業的協同研發較差,產業化能力不足。

(5)國內材料企業普遍分散、規模體量小、技術產品單一,難以形成規模效應、研發投入不足,無法與國際巨頭相抗衡。

目前,半導體核心材料的主要供應商均為海外廠商,且壟斷局面顯著,例如:矽片的前五大廠商占據超過 90%的市場份額,靶材的前四大廠商占據超過 80%的市場份額,封裝基板方面,韓國、日本等廠商占據接近 80%的市場份額。

從晶圓製造材料來看,矽片、電子特氣、CMP 的前五大國外供應商均占據了超過 90%的市場份額。

從封裝材料來看,封裝基板的市場份額主要被台灣、韓國、日本的廠商占據。

3.3 政策支持力度大幅提升,細分市場取得突破

由於國家政策支持、大基金扶持以及晶圓廠向中國轉移的行業大趨勢,國內半導體材料行業市場規模及技術水平都實現了巨大發展。

目前,我國半導體材料廠商的關鍵材料品種覆蓋率達到 25%,國產化率達到 20%。

200mm 矽片產品品質顯著提升,高品質拋光片、外延片開始進入市場。

300mm 矽片產業化技術取得突破,90-65 納米產品片通過用戶評估,開始批量銷售,多項材料已進入國際市場。

國產半導體材料競爭力加強,產業梯隊趨勢明顯。

第一梯隊中的靶材、封裝基板等國產材料中,部分技術標準達到全球一流水平,本土產線已基本實現中大批量供貨。

在靶材方面,國內企業江豐電子已經具備較強的競爭力,產品已經打入主流國際市場;第二梯隊的電子、矽片等國產材料中,個別產品已達國際水準,雅克科技收購的科美特和江蘇先科具備一定的研發能力,未來有望受益國內半導體市場發展;在光刻膠方面,國內光刻膠由中低端向高端逐步過渡,國內廠商已經基本掌握 436nm 和 365nm 的光刻膠技術,248nm 和 193nm 光刻膠核心技術仍被日本和美國的廠商壟斷;在工藝化學品方面,國內企業江化微、晶瑞股份有一定研發能力,競爭力正在逐步提升。

國家關注半導體材料產業的發展。

大基金一期投資 180 億美元到半導體材料行業,科創板中有 5 家企業專注於半導體材料的生產。

我國半導體材料產業發展迅速,增速快於世界平均水平。

隨著晶圓廠向中國遷移的趨勢的推動下,2018 年,中國晶圓代工廠銷售額上漲 41%,使得中國在 2018 年年純晶圓代工市場所占市場份額達到了 19%,這將進一步推動中國半導體材料產業的發展。

我國大矽片產能釋放在即,國產化率有望大幅改善。

2018 年,300mm 矽片和 200mm矽片全球市場份額占比分別為 63.31%和 26.34%,兩種尺寸矽片合計占比接近 90%。

國內廠商已實現 150mm 及下尺寸矽片自給,200mm 矽片僅有少數國內廠商已實現量產,上海新昇實現了 300mm 規模化銷售。

2017-2019 年.全球晶片製造產能(摺合成 200mm)的年均複合增長率為 3.73%,而中國的晶片製造產能年均複合增長率高達 10.66%。

近年來,隨著中芯國際、華力微電子、長江存儲、華虹宏力等中國晶片製造企業的持續擴產,對矽片的需求持續增長、供不應求。

目前,中國的 300mm 晶片製造產能低於 20mm 晶片製造產能,然而,隨著國內企業的不斷進步,據 Gartner 預測,未來國內 300mm 製造晶片產能將超過 200mm 製造晶片產能。

從半導體材料行業的上市企業來看,國內企業在細分領域產品已經取得較大突破,本土產線也已實現中大批量供貨,有一定的競爭優勢。

目前國內半導體材料具備較高水平且本土產線可以實現大規模供貨的主要有濺射靶材、CMP 材料、封裝基板等半導體材料,矽片、電子氣體、掩膜版等材料處於可實現小批量供貨階段。

4 半導體製造——產能製程落後,中芯為首齊發力

半導體製造主要分為邏輯晶片、存儲晶片製造等。

邏輯晶片領域,台積電、三星等承接產業轉移的機遇,建立了較強的先發優勢,但中芯國際、華虹半導體等大陸晶圓代工企業正在加速追趕,產線規模不斷擴大、製程技術不斷提高。

存儲晶片領域長期為三星、海力士、美光等企業壟斷,進入壁壘高,國內以長江存儲、合肥長鑫為代表的企業已經建立產線、全力攻堅產能爬坡與良率提升。

射頻晶片方面,儘管 Skyworks、Qorvo 等國際巨頭瓜分了大部分市場,但唯捷創芯、慧智微、中科漢天下等國內企業已經實現階段性技術突破,市場份額也在逐步提升。

4.1 邏輯晶片:產能兩頭在外,先進位程落後

在半導體晶片行業,企業模式主要分三種,IDM、Foundry 和 Fabless。

IDM 被稱為垂直設計和製造企業,是指從設計到製造、封裝測試以及投向消費市場一條龍全包的企業,這種模式在邏輯晶片的代表性廠商有英特爾,在存儲晶片的代表性廠商有三星、海力士、美光等。

Foundry 是代工廠,是指不做設計和下游營銷,專注加工工藝的整合和產能的提升,最典型的是台積電。

而有的公司專注設計,沒有加工工廠,業務基本外包給代工廠,稱為 Fabless,在邏輯晶片領域有 AMD、高通、博通等。

納米製程是針對 IDM 和 Foundry 而言,Fabless沒有工廠,不需要擔心納米製程的問題。

他們只需要選擇合作對象,給他們設計的晶片進行代工,所以更先進的製程是 IDM 和 Foundry 執著追求的目標,一旦掌握了最先進位程技術,意味著可以最早占領市場,形成先發優勢,對後進入者可以實施價格打壓,維護自己的壟斷地位。

半導體製造環節資金壁壘高。

產能的擴張需要新建大量廠房和引進大量設備,一般新建一個 12 英寸生產線需要上百億元的資本投入。

產線建設完成後也需要經過長時間的產能爬坡才能達到大規模生產,因此在廠線使用初期,高額的折舊攤銷也會對利潤帶來侵蝕,因此半導體製造資金壁壘高。

半導體製造環節由最初的 IDM 模式向當今的晶圓代工演化,這使得相當多的公司可以從大量的設備投入、研發費用中解放出來,專注半導體的設計。

半導體製造環節技術壁壘高。

在半導體製造環節,除了半導體設備本身極具技術難度之外,各個環節設備之間的工藝配合以及誤差控制需要大量的經驗積累。

一般集成電路生產需經過幾十步甚至上千步的工藝,在 20nm 技術節點,集成電路產品的晶圓加工工藝步驟約1000 步,在 7nm 時將超過 1500 步,任何一個步驟的誤差放大都會帶來最終晶片良率的大幅下滑,因此半導體製造行業是一個高度精密的系統工程。

因此,在建立先進位程生產線時,需要投入高額的研發費用。

據 IHS Markit 統計,2017 年全球純晶圓代工市場營收為 530 億美元,較上年增長 7.1%。

隨著無生產線的Fabless商業模式的流行及越來越多的IDM公司對純晶圓代工廠的先進節點產品製造上的依賴,領先的純晶圓代廠的營收將持續性增長。

預計到 2021 年,純晶圓代工市場營收將達到 754 億美元,2016 年到 2021 年的年複合增長率為 9.1%,超過同期全球半導體市場的 2.8%。

從技術節點演變角度來看,28/22 納米及以上相對成熟製程憑藉高性價比依然擁有較大的市場規模,存量上基本保持不變或輕微下降,但是由於 28/22 納米以下先進位程的市場規模逐漸擴大,成熟製程的市場占比會不斷下降。

總的來說,目前代工市場還是主要以成熟製程為主,先進位程占比不斷提高,2017 年 28/22 納米及以下先進位程市場占比僅 38%,預計到 2021 年可以達到 56%。

由於第二次產業轉移台灣承接了代工業務,因此台灣貢獻了全球最大的代工產能。

僅台積電一家在 2018 年上半年就占據了全球晶圓代工市場的 56.1%,聯華電子市占率為8.9%,兩者加起來總共占據了 65%的市場規模。

格羅方德是從美國 AMD 公司虧損後拆分出來的晶圓廠與阿布達比創投基金合資成立,目前也擁有 9%的代工市場。

三星最初是和英特爾一樣,是典型的 IDM 廠商,晶圓代工廠主要服務自身的晶片供應,多餘產能也會外接其他訂單。

2016 年三星代工業務營收 45 億美元,市場占比約 7.7%,位居全球第四。

為進一步提高代工業務盈利能力,2017 年 5 月三星正式宣布代工業務部與系統 LSI 業務部分離,開始自立門戶。

中芯國際是大陸最大的晶圓代工廠,占據大陸晶圓代工市場的 58%,也是大陸唯一一個可以提供 28 納米先進位程的晶圓代工廠。

華虹半導體是全球領先的 200mm 純晶圓代工廠,主要面向 1 微米到 90 納米的可定製服務,根據 IHS 的數據,按 2016 年銷售收入總額計算,華虹半導體是全球第二大 200mm 純晶圓代工廠。

我國集成電路製造業 2017 年銷售額達 1390 億元,預計 2018 年更多新廠實現規模量產,銷售額將進一步攀升至 1767 億元。

主要表現為 12 英寸集中擴建,8 英寸訂單滿載,6 英寸面臨轉型升級。

從產能供給角度來看,2016 年我國大陸地區晶圓製造產能僅為全球的 10%左右,由於國內半導體市場需求巨大且逐年穩步增長,供需關係明顯失衡,我國內地將成為半導體製造廠商的必爭之地。

目前我國晶圓代工的局限主要體現在兩方面,一方面,從產能端來看,「兩頭在外」現象嚴重,另一方面,從製程端來看,與海外巨頭有 2-3 技術代的差距。

產能端:我國的晶圓代工企業和本土設計公司在產值方面出現嚴重的不匹配。

華潤微電子將這種現象定義為「兩頭在外」,一方面本土晶圓製造代工廠給國外設計商做代工,同時國內設計公司也在依靠海外代工廠去生產。

2013 年,中國整個晶圓代工產業規模為 297 億元,其中中國本土晶圓代工規模 248 億元,外資在國內設立晶圓代工廠產業規模為 49 億元。

中國本土 IC 設計公司占據中國本土晶

圓代工營收規模中的 114 億元,占比高達 46%。

2013 年中國 IC 設計公司對晶圓產值需求約323 億元,中國本土晶圓代工廠提供給本土 IC 設計公司的產能按照產值僅滿足 35.3%,還存在 209 億元的晶圓代工缺口。

2017 年,中國整個晶圓代工產業規模為 440 億元,其中中國本土晶圓代工規模 370 億元,外資在國內設立晶圓代工廠產業規模為 70 億元。

中國本土 IC 設計公司占據中國本土晶圓代工營收規模中的 190 億元,占比高達 51%。

2017 年中國 IC 設計公司對晶圓產值需約671 億元,中國本土晶圓代工廠提供給本土 IC 設計公司的產能按照產值僅滿足 28.3%,還存在 481 億元的晶圓代工缺口,比 2013 年增加了 130%,因此,「兩頭在外」現象更加顯著。

從晶圓代工工藝角度來看,目前國內晶圓代工廠在特色工藝領域(BCD 等模擬工藝、射頻、e-NVM、功率器件等)同國外晶圓代工廠差別不大,基本能滿足國內設計公司要求,同時也承接了大規模海外設計公司的需求。

國內晶圓代工廠難以滿足國內設計公司對主流工藝(16nm 及以下)和高性能模擬工藝的需求,2017 年國內設計公司到外資晶圓代工廠代工規模達 481 億元。

製程端:我國設計業對先進位程要求日趨提升,但代工技術製程與海外有較大差距。

中國 IC 設計公司對晶圓代工的要求逐漸向 90nm 以內節點發展。

2017 年,設計公司採用 0.13um 節點占比 53%,2018 年 90nm 及以下節點製程的需求將超過 0.13um,至 2025年中國設計公司 70%會用到 90nm 以內製程。

中芯國際是中國大陸規模最大的晶圓代工廠,同時也是製程技術最先進的晶圓代工廠。

目前公司 28 納米 PolySiON、HKMG、HKC 全平台建設已經完成,FinFET 研發進展順利,第一代 FinFET 14 納米技術進入客戶驗證階段,產品可靠度與良率已進一步提升。

第二代FinFET N+1 技術開發正在按計劃進行。

上海中芯南方 FinFET 工廠順利建造完成,開始進入產能布建。

同時,12 納米的工藝開發也取得突破,目前已經進入客戶導入階段。

從 14 納米技術的量產時間上看,台積電、聯電、格羅方德、英特爾、三星均領先於中芯國際。

與聯電和格羅方德對比,雖然中芯國際在量產 14 納米與其有 2-3 年的時間差距,但是格羅方德和聯電目前均已退出 14 納米以下先進位程市場的爭奪,轉向成熟特色工藝製程。

而中芯國際則向 14 納米以下先進位程不斷進發,14 納米工藝量產在即,因此在製程角度中芯國際已經開始超越聯電和格羅方德。

從市占率角度來看,中芯國際身兼資金、人才、管理優勢,疊加先進工藝的持續導入,未來也將大機率在市場占有率上全面超過聯電和格羅方德。

與英特爾和三星對比,中芯國際在量產 14 納米與其有近 5 年的時間差距,雖然技術上中芯國際還有很長的追趕時間,但是由於英特爾和三星都是 IDM 企業,產能規模有限,雖然三星已經將代工事業部獨立出來,但是短期內在市場份額上的角逐上競爭力有限。

因此,英特爾和三星不會成為中芯國際最大的競爭對手。

與台積電對比,中芯國際無論在產能上還是製程上都遠落後於台積電。

我們發現 28 納米是中芯國際和台積電技術差距的拐點,90 納米中芯落後台積電 1 年,65 納米落後兩年,40 納米落後三年,28 納米整整落後 6 年,技術差距呈增大趨勢。

28 納米之後的先進位程,中芯國際和台積電的差距越來越小,14 納米落後台積電 3.5 年,比原計劃提前了半年,10納米及以下預計落後 3 年。

所以在未來先進位程的競爭上,中芯國際和台積電的差距正在逐漸縮小,有望成為僅次於台積電全球第二大純晶圓代工廠。

4.2 存儲晶片:打破日韓壟斷,強攻存儲市場

存儲晶片作為半導體產業鏈的最大下游,在整個集成電路市場中占比最高。

2018 年全球集成電路市場規模約 5000 億美元,其中 1600 億美元屬於存儲晶片市場。

隨著大數據、雲計算、人工智慧的發展,整個存儲行業將會迎來更大的市場空間。

存儲器晶片主要分為易失性存儲和非易失性存儲。

易失性存儲指斷電以後,存儲器內的信息就流失了,例如 DRAM,主要用來做 PC 機內存(如 DDR)和手機內存(如 LPDDR)。

非易失性存儲指斷電以後,存儲器內的信息仍然存在,主要是快閃記憶體(NAND FLASH 和 NOR FLASH),NOR 主要應用於代碼存儲介質中,而 NAND 則用於數據存儲。

在存儲晶片整個市場中,DRAM 產品占比最高約 53%,NAND Flash 產品占比約 42%,Nor Flash 占比僅有3%左右。

存儲晶片市場集中度高,無論是 DRAM,還是 NAND Flash、Nor Flash 都呈現寡頭壟斷格局。

根據 statista 數據,2018 年全球 DRAM 市場規模約 996.6 億美元,主要由三星、海力士、美光三足鼎立,其中三星一家獨占 43.9%,海力士占 29.5%,美光占 22.5%。

三家市場份額合計就達到92.6%。

NAND市場也呈現多頭壟斷格局,全球市場規模約 634億美元,主要由三星、海力士、美光、東芝、西部數據五家瓜分,三星依舊占據最大份額約 35%,東芝、西部數據、美光、海力士依次排名其後,分別為 19.2%,14.9%,12.9%,10.6%。

目前,國內已經有兩家企業對存儲行業發起了衝鋒,分別是長江存儲和合肥長鑫。

長江存儲由紫光集團聯合集成電路基金、湖北省科投等於 2016 年在武漢註冊成立,目前為清華紫光集團的子公司,同時整合了已成立 10 年的武漢新芯。

目前長江存儲的 32 層 NAND Flash 產品已經實現量產,月產能達到 5000 片。

64 層256Gb 3D NAND 正在進行技術研發,預計將於 2019 年底進入量產。

2019 年 4 月公司的32 層 3D NAND 晶片接獲首筆訂單,數量達 10776 顆晶片,將應用在 8GB USD 卡上。

合肥長鑫由兆易創新與合肥產投於 2016 年合資成立。

DRAM 項目投資超過 72 億美元(495 億人民幣),項目建設三期工程,2018 年 1 月已完成一期 12 英寸晶圓廠建設,並開始安裝設備;2018 年 7 月合肥長鑫宣布正式投片,產品規格為 8GB DDR4,已達到移動內存的主流規格;預計 2019 年末可實現每月生產 2 萬片的產能目標;2020 年起將規劃建設二廠;2021 年完成對 17nm 工藝技術的研發。

4.3 射頻晶片:美國高度壟斷,國產替代加速

射頻前端即無線電系統的接收機和發射機,可實現信號的傳輸、轉換和處理功能,是移動終端通信的基礎。

射頻前端晶片包括射頻開關、射頻低噪聲射頻低噪聲放大器、射頻功率放大器、雙工器、射頻濾波器等晶片,其中,射頻開關用於實現射頻信號接收與發射的切換、不同頻段間的切換,射頻低噪聲放大器用於實現接收通道的射頻信號放大,射頻功率放大器用於實現發射通道的射頻信號放大,射頻濾波器用於保留特定頻段內的信號並將特定頻段外的信號濾除,雙工器用於將發射和接收信號的隔離以保證接收和發射在共用同一天線的情況下能正常工作。

智慧型手機通信系統結構示意圖如下:

隨著手機、平板電腦市場的日益成熟,全球移動終端的出貨量基本穩定,從而,對射頻前端晶片的需求也保持相對穩定。

隨著移動終端越來越滲透日常生活的方方面面,根據 Yole Development 的研究,2016 年全球每月流量為 960 億 GB,其中智慧型手機流量占比為 13%;預計到 2021 年,全球每月流量將達到 2780 億 GB,其中智慧型手機流量占比亦大幅提高到33%。

通訊技術的發展的同時也推動了射頻晶片市場的發展。

在過去的十年間,通信行業經歷了從 2G 到 3G 再到 4G 的跨越式發展,智慧型手機中射頻前端晶片的價值也從 0.9 美元(2G)到 3.4 美元(3G)再到 6.15 美元(4G),這促使著在出貨量穩定的情況下射頻前端晶片的市場規模水漲船高。

隨著 5G、物聯網時代的來臨,射頻前端晶片的市場規模將進一步上升。

在射頻晶片領域,國外巨頭壟斷嚴重。

目前,手機射頻前端市場由博通、Skyworks、Qrovo 和 Murata 四大供應商壟斷了超過 90%的市場份額。

該四家國外廠商均為 IDM 廠商,該經營模式不僅使得它們擁有較低製造成本,還使得它們自身的製造端能為設計端量身打造、性能匹配度較高,這也進一步提高了國內廠商的進入壁壘。

在 SAW 濾波器方面,市場份額主要被 Mutara、TDK、Taiyo Yuden 等公司所壟斷,合計占有 85%。

國內企業由於產業發展較晚、製造相對不成熟,所以目前仍多採用 Fabless+Foundry 的經營模式,並且多依賴國外廠商進行射頻晶片的代工。

目前國產射頻 PA 設計廠商主要有紫光展銳、唯捷創芯、慧智微、中科漢天下等,製造廠商主要有三安光電。

射頻開關及 LNA 設計廠商主要有卓勝微電子。

紫光展銳實現了 GaAs(砷化鎵)和 CMOS(矽基)兩種不同工藝在 2G、3G、4G 射頻前端產品的全面覆蓋,並批量量產射頻開關、低噪聲放大器以及 2.4G/5G 雙頻 Wi-Fi 射頻前端產品,且在射頻濾波器方面已經完成初步布局。

目前,紫光展銳是中國大陸唯一一家實現產品線全覆蓋的本土射頻晶片公司。

唯捷創芯擁有完全獨立智慧財產權的 PA、開關等終端晶片已經大規模量產及商用,已累計銷售超過 13 億顆晶片。

同時,在 5G 領域也在加速布局,唯捷創芯計劃發布其首款在3.3GHz-3.6GHz 頻段支持 HPUE(高功率用戶設備)的 5G 射頻前端模組。

慧智微於 2015 年推出全球首顆量產可重構射頻晶片 AgiPAM®,也是業界唯一規模量產的可重構射頻前端產品。

與世界上採用非可重構技術的類似產品相比,性能、成本結構和尺寸都具有明顯優勢,為中國「自主創芯」走出一條「彎道超車」之路。

中科漢天下的 GSM PA 產品市場占有率達 60%,居全球第一;3G PA 市場占有率超過45%,居國內第一;4G PA 現在月出貨量 500 萬套,產品已經被三星、中興通訊、TCL 等知名品牌手機廠商採用,並銷往歐洲、美洲、非洲、東南亞等 160 多個國家和地區。

卓勝微電子在行業內推出第一款基於 RFCMOS 工藝的 GPSGPS 射頻低噪聲放大器LNA 晶片,並實現量產,2017 年出貨 18 億顆射頻晶片,銷售額達到 5.9 億元,客戶覆蓋三星、華為、小米、OPPO 等手機品牌,在射頻前端晶片領域躍升為國內領先企業。

三安光電的子公司三安集成的砷化鎵射頻銷售持續成長,出貨客戶累計至 73 家,達 270種產品。

隨著工藝及客戶端產品認證的不斷成熟,三安集成的砷化鎵 HBT 產品主流工藝已開發完成,產品全方面涵蓋 2G-4G PA、WiFi、IoT 等主要市場應用,並且在 5G 領域已實現了小批量供貨。

目前,三安集成砷化鎵射頻銷售持續成長,銷售數量環比增長,出貨客戶累計至 73 家,達 270 種產品。

隨著工藝及客戶端產品認證的不斷成熟,三安集成的砷化鎵 HBT 產品主流工藝已開發完成,產品全方面涵蓋 2G-4G PA、WiFi、IoT 等主要市場應用,並且在 5G 領域已實現了小批量供貨;氮化鎵射頻已給幾家客戶送樣,反覆進行了技術交流,產品已階段性通過電應力可靠性測試,實現小批量供貨;濾波器產品的研發和可靠性驗證已取得了實質性進展,進入客戶送樣驗證階段,客戶反饋初步測試產品性能已優於業界同類產品,預計在 2019年第二季度形成產品銷售。

5 半導體設計——發展勢頭喜人,架構 EDA 被限制

晶片設計在產業鏈中占據重要位置,技術壁壘高,需要大量的人力、物力投入,需要較長時間的技術積累和經驗沉澱。

目前在全球集成電路設計市場中美國企業占據了半壁江山,中國設計產業在核心架構與 EDA 工具方面仍然依賴國外授權。

但近年來我國設計產業發展迅猛,行業增速遠超國際平均水平,華為海思已經達到 7nm 先進位程,在 5G 晶片技術上也走在世界前列。

比特大陸、寒武紀科技等在 ASIC 晶片領域獨樹一幟,讓中國在晶片設計領域彎道超車成為可能。

5.1 代工廠的出現大大促進了半導體設計行業的發展

晶片的設計過程主要分為四個步驟:一、根據所需功能選擇相應的晶片架構與 IP 模塊;二、編寫出對應的 HDL 代碼,並放到 EDA tool 中反覆運行測試,直到功能正確為止;三、將測試成功的代碼放入另一套 EDA tool 中進行電路布局與繞線並檢測電路運行情況;四、自底層開始,逐層為設計好的電路圖製作光罩,最終形成期望的晶片。

上世紀 60 年代,早期企業都是 IDM 運營模式(垂直整合),這種模式涵蓋設計、製造、封測等整個晶片生產流程,這類企業一般具有規模龐大、技術全面、積累深厚的特點,如Intel、三星等。

隨著技術升級的成本越來越高以及對 IC 產業生產效率的要求提升,促使整個產業逐漸向設計、製造、封裝、測試分離的垂直分工模式發展。

這種垂直分工的模式首先大大提升了整個產業的運作效率;其次,將相對輕資產的設計和重資產的製造及封測分離有利於各個環節集中研發投入,加速技術發展,給新玩家一個進入行業的切入點,例如技術水平較低的封裝檢測、設計突出的 Fabless 等。

自代工模式出現之後,全球集成電路設計行業一直呈現持續增長的勢頭。

從營收增速角度來看,IC設計市場出現過兩次大規模增長。

第一次是在2003-2004之間,主要由於Windows XP 發布後帶來的個人 PC 換機潮

其次是 2010 年智慧型手機滲透率的加速提升帶來 IC 設計市場規模的大幅提升。

雖然當下智慧型手機、筆記本電腦等終端產品進入成熟期,增量放緩,但是物聯網、人工智慧等新興領域仍處於技術積累階段,市場規模較為有限,因此在 2015年左右全球 IC 設計行業市場規模出現小幅萎縮,2016 年全球 IC 設計行業市場規模再次實現增長,2018 年全球 IC 設計行業銷售額為 1139 億美元。

5.2 我國半導體設計市場份額低,核心架構和 EDA 工具受制於人

從 IC 設計市場區域份額來看,美國集成電路設計行業處於全球領先地位,2017 年行業銷售額占全球集成電路設計業的 53%;台灣地區占 16%,位居第二;中國大陸地區 IC設計銷售額占比較低,原因之一在於類似海思半導體、中興微電子和大唐微電子等國內 IC設計巨頭多數 IC 產品僅供自用,因此轉移它們自用的 IC 產品之外,中國大陸直接向市供應的 IC 產品銷售額僅占 11%。

從 IC 設計企業實力來看,我國大陸缺乏全球性的 IC 設計企業。

根據拓墣產業研究院數據,2018 年全球前十大 IC 設計企業中,美國擁有 6 個,台灣擁有 3 個,德國有 1 個。

而且前兩名博通和高通 2018 年營收分別為 189 和 164 億美元,兩者營收之和占據了全球前十大 IC 設計企業營收的 50%。

中國大陸目前還缺乏從營收體量上進入全球前十的 IC 設計巨頭。

從研發支出角度來看,國內 IC 設計企業與國際大廠有很大差距。

根據 IC Insights 數據,2017 年全球投入研發資金最多的前 10 大半導體廠主要為英特爾、高通、博通、三星等全球半導體巨頭。

除了高通和東芝的研發開支相比 2016 年出現了負增長,其他廠商均呈現同比提升態勢。

國內 IC 設計廠商目前還沒有研發開支超過 10 億美元的,因此大陸 IC 設計產業的投資力度與其他大廠相比還存在一定差距。

從技術角度來看,我國 IC 設計的技術難題主要是核心架構與 EDA 工具受制於人。

在核心架構方面,高度依賴海外公司的 IP 授權。

當前國內主流 IC 設計商採用購買國外CPU 的 IP 授權,並藉助現有的生態系統開拓市場。

例如華為海思從 2009 年的 K3 到 2018年的麒麟 980,在國產 SoC 晶片領域取得較大突破,但是,華為手機 SoC 的麒麟晶片和伺服器的鯤鵬晶片的架構與指令集依然依賴 ARM 公司的授權,不僅許可費高昂,而且每次授權期限僅僅 4-5 年,還被限定使用範圍。

ARM 的授權模式分為三個等級:授權等級最低的是使用層級授權,擁有使用授權的用戶只能購買已經封裝好的 ARM 處理器核心,而如果想要實現更多功能和特性,則只能通過增加封裝之外的 DSP 核心的形式來實現。

大多數缺乏研發設計能力的初創企業都選擇購買這種授權;授權等級較高的是內核層級授權,指可以一個內核為基礎然後在加上自己的外設形成 MCU,例如三星、德州儀器(TI)、博通、飛思卡爾、富士通等等;授權等級最高的是架構/指令集層級授權,指可以對 ARM 架構進行大幅度改造,甚至可以對 ARM 指令集進行擴展或縮減,例如蘋果的 A 系列、高通的驍龍系列、華為的麒麟系列。

如今,我國 80%的信息產業都是建立在他人的晶片平台基礎上的。

目前,國內僅有中科院的龍芯和總參謀部的申威擁有自主架構,前者用於北斗導航,後者用於神威超級計算機,民用領域基本是空白。

從革命的角度看,申威比龍芯更具革命性,龍芯為了生態兼容了 MIPS指令集,並在 MIPS 的基礎上擴展形成了 LoongISA,而申威則獨立開發了 SW64 指令。

申威 432 大約與龍芯 3A4000 同一時期完成,在主頻和工藝上比龍芯 3A4000 更強,但在微結構可能是龍芯的更好,兩者的性能可能會在伯仲之間。

與申威 432 採用同一款內核的伺服器CPU,申威 3232,這款晶片可以達到英特爾主流伺服器的 60%—70%。

在晶片設計的 EDA 工具方面,我國晶片設計公司也離不開 Cadence、Synopsys 等海外 EDA 軟體公司的授權。

EDA 工具是電子設計自動化(Electronic Design Automation)的簡稱,利用 EDA 工具,工程師將晶片的電路設計、性能分析、設計出 IC 版圖的整個過程交由計算機自動處理完成。

在集成電路動輒需要上億電晶體的今天,手工布線已然不可為,因此 EDA 已經成為 IC 電子行業必備的設計工具軟體。

到目前為止,全球 EDA 行業基本形成了三家鼎立的格局。

這三家公司分別是,美國的新思科技(Synopsys)、同樣是來自美國的楷登電子科技(Cadence)、2016 年被德國西門子收購的明導國際(Mentor Graphics)。

僅 2017 年裡,全球 EDA 行業的總收入中有 70%被這三家公司瓜分。

國內的 EDA 企業主要有華大九天、概倫電子、廣立微、芯禾科技等。

雖然近年來發展迅速,但與國外巨頭的技術與投入差距仍然較大。

5.3 我國半導體設計業發展迅速,有望在 5G 和 ASIC 晶片領域實現彎道超車

從產業結構來看,我國集成電路產業鏈結構正在不斷優化。

2011 年,我國主要以技術含量較低的封測業為主,封測占比高達 50.46%,超過設計和製造占比之和。

2018 年,我國的設計業成為集成電路產業鏈中占比最大的分支,占比高達 38.57%,超過封測業的 33.59%。

我國的半導體產業結構開始不斷優化,以設計和製造為主的技術密集型企業占比正不斷提升。

從市場份額來看,我國半導體設計市場份額增速領先行業平均水平。

自 2010 年以來,最大的無晶圓集成電路市場份額增長來自中國供應商,10 家中國無晶圓廠公司被列入 2017年的無晶圓 IC 供應商前 50 名名單,而 2009 年僅有一家。

自 2010 年以來,最大的無晶圓集成電路市場份額增長來自中國供應商,2010 年占據 5%的份額,但占 2017 年無晶圓 IC總銷售量的 11%。

Unigroup(紫光)是 2017 年中國最大的無晶圓 IC 供應商(也是全球第九大無晶圓供應商),銷售額達 21 億美元。

從公司數量來看,我國 IC 設計企業數量增長迅速。

自 2012 年以來,中國 IC 設計企業逐年增加,2015 年底 IC 設計企業為 736 家,2016 年實現脈衝式跳躍至 1362 家,增長率高達 85%。

2018 年再次實現大幅增長,增加至 1698 家,增長率高達 23%。

在 ASIC 晶片領域,國內廠商已經取得了一定成績。

以比特大陸、嘉楠耘智為代表的礦機廠商採用的 ASIC 晶片已經達到了 7nm 製程,在國際中處於較先進地位。

寒武紀科技推出的寒武紀 1A 處理器(Cambricon-1A)是世界首款商用深度學習專用處理器,面向智慧型手機、安防監控、可穿戴設備、無人機和智能駕駛等各類終端設備,在運行主流智能算法時性能功耗比全面超越 CPU 和 GPU。

同時,國內各大科技網際網路巨頭都在投資布局 ASIC 晶片。

2018年 9 月阿里巴巴成立平頭哥半導體晶片公司,其開發的自主嵌入式 CPU 在語音識別、機器視覺、無線連接、工業控制和汽車電子等領域已得到規模化的應用,終端產品累計應用已超10 億顆。

2019 年 4 月,小米公司將旗下子公司重組,成立大魚半導體,專注於 AI 和 IoT 晶片與解決方案的技術研發。

隨著未來人工智慧與物聯網的潛能釋放, ASIC 晶片將打開更大的市場空間。

在 5G 技術方面,我國已經走在了世界前列。

2019 年 1 月華為發布了全球首款 5G 基站核心晶片「華為天罡」及 5G 多模終端晶片「Balong5000」。

華為天罡可支持 200M 運營商頻譜帶寬,可實現基站尺寸縮小超 50%、重量減輕 23%、功耗節省達 21%,安裝時間比標準的 4G 基站 7.5 小時的安裝時間節省一半,有效解決站點獲取難、成本高等挑戰。

Balong5000 則是全面開啟 5G 時代的鑰匙,可以支持多種豐富的產品形態,除了智慧型手機外,還包括家庭寬頻終端、車載終端和 5G 模組等,將在更多使用場景下為廣大消費者來不同以往的 5G 連接體驗。

溫馨提示:如需原文檔,可在PC端登陸未來智庫www.vzkoo.com搜索下載本報告。

(報告來源:西南證券;分析師:劉言/陳杭)


請為這篇文章評分?


相關文章 

值得收藏!半導體全產業鏈分析

1、周期性波動向上,市場規模超4000億美元1.1、半導體是電子產品的核心,信息產業的基石從電晶體誕生,再到集成電路計算機的基礎是1和0,有了1和0,就像數學有了10個數字,語言有了26個字母,...

你真的懂MEMS嗎?

微機電系統(Micro-Electro Mechanical System)是指尺寸在幾毫米乃至更小的傳感器裝置,其內部結構一般在微米甚至納米量級,是一個獨立的智能系統。簡單理解,MEMS就是將...

集成電路產業鏈投資全景圖

集成電路(integratedcircuit)是一種微型電子器件或部件。採用一定的工藝,把一個電路中所需的電晶體、電阻、電容和電感等元件及布線互連一起,製作在一小塊或幾小塊半導體晶片或介質基片上...

2018國內半導體製造十大企業

晶圓代工在半導體產業鏈中地位十分重要,是行業著實的中流砥柱。具體來講,代工廠商的任務是將設計客戶的版圖製造成實際的集成電路或分立器件,再交給封測廠商進行實施後道工序,毫不誇張地說,是代工廠商給了...

半導體行業全面盤點與展望報告(122頁)

(溫馨提示:文末有下載方式)1.1 半導體產業鏈的基本介紹半導體行業是以半導體為基礎而發展起來的電子信息硬體產業。半導體行業的工藝流程 主要包括矽片製造、集成電路設計、晶圓製造和封裝測試。矽

關於集成電路產業鏈,收藏這一篇就夠了

集成電路作為半導體產業的核心,市場份額達83%,由於其技術複雜性,產業結構高度專業化。隨著產業規模的迅速擴張,產業競爭加劇,分工模式進一步細化。目前市場產業鏈為IC設計、IC製造和IC封裝測試。

經過瘋狂的2016,中國半導體業產業邁入新階段

版權聲明:本文內容來自國海證券,如您覺得不合適,請與我們聯繫,謝謝。半導體是許多工業整機設備的核心,普遍應用於計算機、消費類電子、網絡通信、汽車電子等核心領域。 半導體主要由四個組成部分組成: ...