半導體行業全面盤點與展望報告(122頁)

文章推薦指數: 80 %
投票人數:10人

(溫馨提示:文末有下載方式)

1. 半導體行業概述

1.1 半導體產業鏈的基本介紹

半導體行業是以半導體為基礎而發展起來的電子信息硬體產業。

半導體行業的工藝流程 主要包括矽片製造、集成電路設計、晶圓製造和封裝測試。

矽片製造需要單晶爐等設備, 具體歷經矽單晶生長、磨外圓、切片、倒角、磨削/研磨、拋光等多道製作流程。

集成電 路設計包括邏輯開發、電路設計和版圖設計,是為進一步的晶圓製造提供製作藍圖。

晶 圓製造是半導體工藝流程中製作工序精細的一環,其工藝流程主要包括擴散、光刻、刻 蝕、離子注入、薄膜沉積和拋光,需要光刻機、刻蝕機、CVD、離子注入機等多類設備。

完成後的晶圓需要封裝測試,即使用測試機、分選機、探針機等設備對成品進行測試, 進而將性能良好的半導體產品提供給產業鏈下游的銷售商。

1.2 技術進展和主要產品

從技術更迭角度來看,近10 年來,集成電路技術經歷了特徵尺寸不斷減小、新材料的導 入、電晶體結構的改進、晶圓向大尺寸轉進、製造設備向自動化和高產出率轉化,三維(3D)堆疊封裝湧現,晶片設計向系統設計過渡等技術更迭過程。

技術創新推動集成電 路產業不斷驗證摩爾定律。

高昂的研發費用和指數式增長的產能投資是半導體廠保持技術先進的一大護城河。

目前 可以進入16/14nm 及以下節點的全球半導體廠商僅有6 家,即英特爾、格羅方德、三星、 台積電、聯電和中芯國際。

2018 年是7nm 技術進入量產的關鍵年,全球晶圓代工廠走向新分水嶺。

一方面聯電止步 於12nm 製程研發,格羅方德宣告無限期停止7nm 以下先進位程的發展;另一方面,台積電於2018 年第二季度實現7nm 技術量產,三星在10 月份也宣布量產7nm EUV 製程。

目 前台積電幾乎壟斷了7nm 的全球代工市場,其中客戶包括高通、蘋果,AMD,海思等,市 場優勢十分顯著。

28nm 技術是平面工藝的臨界點。

在28nm 時,不僅MOS 電晶體結構仍然是平面型的,而且 仍然可以採用圖像的一次曝光技術。

在28nm 以下節點時,不僅MOS 電晶體採用FinFET 結構,而且採用兩次圖形曝光技術(DP),甚至三次或四次圖形曝光技術。

集成電路技術在28nm 技術節點後出現了分水嶺,跨過該節點後,台積電、英特爾、三星 等都依摩爾定律向16/14/10/7nmFinFET 技術前進,FinFET技術鎖定在最先進技術的應用 產品上,包括高階處理器、人工智慧(AI)、深度學習(Deep Learning)、雲端伺服器 等應用。

目前國際頂級製造水平是量產7nm 晶片,試產5nm 晶片。

台積電預計於2019 年 二季度實現5nm EUV 製程的風險試產,而3nm 晶片也已進入全面研發階段。

而28nm 節點後的另一條技術路線是發展FD-SOI 技術,其中,以格羅方德和三星為代表。

FD-SOI 技術非常適合於物聯網、汽車電子、5G 移動通信和射頻聯接等技術,其最大特點 是低功耗。

近年來,中國大陸集成電路產業的快速崛起已成為全球半導體產業的亮點,中國大陸集 成電路技術的快速提升引人注目。

2018 年中國大陸IC 設計業的領頭企業華為海思已採用7nm 技術製造智慧型手機晶片,是台積電7nm 製程的核心客戶。

另一家中國大陸IC 設計領 頭企業紫光展銳將於2019 年推出基於7nm 技術的5G 手機晶片。

同時,中國大陸最大的晶圓製造企業中芯國際(SMIC),在2018 年已經完成了28nmHKC+ 以及14nm 的技術研發,並已經開始相應客戶導入的工作,預計在2019 年將實現14nm 芯 片量產。

華虹是國內繼中芯國際後第二家掌握28nm 晶片技術的企業,在2018 年12 月份 公司成功實現量產28nm 的晶片,旗下子公司上海華力微為聯發科生產了第一顆28nm 低 功耗工藝平台的無線通訊數據處理晶片。

從應用產品角度來看,集成電路可以大致分為微處理器、存儲器兩大類。

微處理器(MPU)是由一片或少數幾片大規模集成電路組成的中央處理器。

這些電路執行 控制部件和算術邏輯部件的功能。

微處理器能完成取指令、執行指令,以及與外界存儲 器和邏輯部件交換信息等操作,是微型計算機的運算控制部分。

它可與存儲器和外圍電 路晶片組成微型計算機。

在過去的40 年中,微處理器性能和技術指標不斷更新,發展出 多核、並行計算、64 位架構等。

微處理器的設計和性能也日益強大,除了具備中央處理 器(CPU)的所有功能外,有的微處理器還增加了圖像處理、視頻信息處理和新興的人工 智能(AI)應用等多種系統級功能和加速模塊。

2018 年微處理器的市場構成為,計算機處理器(標準PC、伺服器、大型計算機)占比將 為52%,嵌入式處理器約為16%,平板電腦移動應用處理器市占率為4%,手機移動應用處 理器占比28%。

2018 年微處理器約有50%以上將來自英特爾和其競爭對手AMD 出售的X86 架構產品。

在應用方面,微處理器不單是PC、伺服器和大型主機最主要的構件,而且還廣泛被用於 各種系統的嵌入式處理,如網絡設備、計算機外設、工業控制、醫療設備、汽車電子、 智能電視、機頂盒、視頻遊戲機、物聯網和可穿戴設備等應用中。

根據WSTS 最新發布的數據,2018 年全球微處理市場銷售規模同比增長6.42%,達到約為680 億美元,較2017 年增長率上漲將近一個百分點。

根據IC Insights 預測,2018 至2022 年微處理器市場銷售年複合增長率為 3.4%。

出貨方面,預計2018 年微處理器出貨量將達26 億顆,同比將增長2%,2018 年至2022 年的整體微處理器出貨量年複合增長率為2.1%。

在過去5 年中增長最快的是應用於平板電腦和智慧型手機的微處理器SoC,其次是嵌入式應 用的微處理器,但在計算機領域的市場規模仍然是最大。

目前全球微處理器業務繼續由英特爾(Intel)主導。

在過去20 年中,全球微處理器市 場75%由英特爾掌控。

但近年由於手機和平板電腦中基於ARM 架構SoC 處理器的強勁增長, 使得英特爾的微處理器市場比例下降至60%左右。

過往英特爾針對全球PC 的龐大微處理 器,只有一個對手AMD(超微)。

但近年來隨著智慧型手機和平板電腦在各種應用領域的廣 泛興起,使得英特爾進入了「後PC時代」,競爭者也明顯增多。

存儲器是現代信息技術中用於保存信息的記憶設備。

據世界半導體貿易統計協會(WSTS) 統計,2018 年全球半導體存儲器市場規模達1579.67 億美元,占全球集成電路市場規模 的比例為40.17%。

其中DRAM 占58%,Flash 占41%(其中NAND Flash 占 40%,NOR Flash 占1%),其他占1%左右。

DRAM,即動態隨機存取存儲器,是最為常見的系統內存。

根據IC Insights 的數據,2018 年全球DRAM 市場銷售規模穩定增長,達948.88 億,同比增長39%,2019 年DRAM 市場規 模預計為939.31 億美元,增長率為-1%。

從技術演進方向來看,2016年以來正值DRAM 技術世代交替,由DDR3 轉進DDR4,大容量 內存的供給多於小容量內存的供給,造成下遊客戶被迫升級電子設備中的內存搭載容量。

在2014 年DDR3,包括平板電腦、智慧型手機和筆記本電腦等應用領域占了DRAM 總量的84%, 到2015 年DDR3 僅占76%。

但是到2016 年,DDR4 的平均售價(ASP)降至與DDR3 相差無 幾。

英特爾最新的14nm 的X86 核處理器也包含了DDR4 控制器和介面,因此,在2017 年DDR4 上升成為DRAM 的主要份額,達到58%,而DDR3 退居到僅占39%。

在全球DRAM 市場競爭格局中,從DRAM 的供給側來看,近年DRAM 大廠幾乎沒有大幅擴充 產能。

觀察全球DRAM 三大陣營,三星、SK 海力士和美光的行動:三星在韓國平澤市新建PI 廠房和Line15 生產線,SK 海力士建設M14 生產線;美光在日本廣島實施Fab15 和Fab16 建設計劃。

從市場需求來看,根據SEMI 的估計,一直到2021 年,DRAM 需求端的成長率 至少上漲30%。

驅動DRAM 產業繼續衝刺的四大動力是:物聯網(IoT)相關應用;大規模運算,涵蓋人工智慧(AI)、深度學習(Deep Learning)系統等;汽車電子相關應用; 以及消費類產品和通信產品等。

從2016 年下半年以來DRAM 供貨短缺導致了DRAM 價格飛漲。

根據WSTS 的跟蹤統計,從2016 年7 月到2017 年7 月,DRAM 每GB 位模組的平均售價(ASP)上升了111%,到2018 年8 月,DRAM 的平均售價達到6.79 美元,24 個月漲幅達到165%。

NAND Flash 是flash 內存的一種,其內部採用非線性宏單元模式,為固態大容量內存的 實現提供了廉價有效的解決方案。

NAND Flash 存儲器具有容量較大、改寫速度快等優點, 適用於大量數據的存儲。

從技術發展方向來看,2018年全面進入3D NAND Flash 之年,2018 年原廠三星、東芝/ 西部數據、美光/英特爾3D NAND 生產比重已超過80%,並推動64 層/72 層3D NAND 技 術成為主流。

為了持續提高成本競爭力,確保先進技術在市場上的領導優勢,三星、東 芝/西部數據、美光/英特爾、SK海力士先後實現96 層技術的量產。

SK 更是推出首款4D NAND Flash 以求在技術上突圍。

在2016-2017 年全球NAND Flash 正處於技術交替時期,全球主要供應商在3D NAND Flash製造技術的競爭已達到了白熾化的程度。

據美光(Micro)稱,其第一代32 層3D NAND Flash 要比16nm/2D NAND Flash 成本減少25%,其第二代64 層3D NAND Flash 要比32 層的成 本又減少30%。

快閃記憶體製造商急於向3D NAND Flash 切換和向更高堆疊層數發展,以推動產 品成本下降。

今後,隨著3D NAND Flash 產能的快速增長,16nm 2D NAND Flash 的產量將逐步減少, 而且二維快閃記憶體(2D NAND Flash)製程也將終結於16nm 節點,快閃記憶體製造廠商將直接放棄 二維快閃記憶體的技術研發。

由此二維快閃記憶體(2D NAND Flash)的市場也將與三維快閃記憶體(3D NAND Flash)脫鉤,逐漸轉變為利基型市場。

2018 年,快閃記憶體製造廠商在96 層和QLC 技術創新 上展現了激烈的競爭。

從NAND Flash 的供給側來看,全球主要的市場份額被三星占據,自2017 年以來,三星、SK 海力士、美光、東芝、英特爾和西部數據等都在實施其存儲器研發和製造的擴產計劃。

中國大陸的武漢長江存儲器也緊鑼密鼓地實施規模龐大的3D NAND Flash 發展計劃。

從需求端來看,隨著IoT、車載系統及伺服器等高端NAND Flash 存儲應用市場的蓬勃發 展,NAND Flash 晶片未來發展前景廣闊。

Gartner 及Machine Research 的研究報告指出, 全球以工業4.0 為基礎的物聯網設備數量將於2020 年達到260 億個,更將帶動全球市場 產值達到1.2 萬億美元,在高端市場方向,快閃記憶體將迎來新的發展契機。

NOR Flash 是目前市場上另一種主要的非易失快閃記憶體技術,讀速度稍快於NAND Flash,具 有非易失性,並且可輕易擦寫。

從技術發展方向看,1988 年Intel 公司率先開發出NOR Flash 晶片,打破了EPROM 和EEPROM 占據市場的局面。

在功能機時代,手機對內存的要 求不高,NOR Flash 更是憑藉著NOR+PSRAM 的XiP 架構,得到廣泛應用。

然而進入智能機 時代,湧現了大量占據內存的APP,容量小而成本高的NOR Flash 逐漸被NAND Flash 芯 片取代,市場不斷萎縮,甚至三星、鎂光、CYPRESS 等主要廠商都宣布退出NOR Flash 市場。

隨後,隨著蘋果等系列公司對AMOLED 螢幕的加速使用,用來做電學補償的NOR Flash 也迎來了新的發展。

從供給側來看,全球NOR Flash 的主要供應商集中於美國的賽普拉斯(Cypress)和美 光(Micro),以及台灣地區的旺宏電子、華邦電子,還有我國大陸地區的兆易創新 。

近年來,NOR Flash 市場越趨集中。

2015 年美系大廠飛索(Spansion)宣布進入破產保 護後,被賽普拉斯(Cypress)併購,產品逐步聚焦於車用電子市場。

加上美光(Micro) 併購恆億(Numonyx)、微芯(Microchip)併購超捷(ST),逐漸形成了當前的壟斷局 面。

隨著我國大陸地區智慧型手機、物聯網和車用電子對NOR Flash 的需求提升,推動了武岳 峰基金出手收購美商矽成(ISSI);兆易創新也將產品重心轉向擴產NOR Flash;武漢 新芯近年來也一直與飛索(Spansion)和賽普拉斯(Cypress)合作,成為國內最大的NOR Flash 製造企業。

從需求端來看,2018 年,全球NOR Flash 的市場規模約為22 億美元。

近年來,在經歷 了被NAND 取代致使市場萎縮的境況後,NOR Flash 企業市場需求迎來變化。

一方面隨著AMOLED 螢幕的普及率不斷加速,作為其必須製造材料的NOR Flash 的市場需求增加;同 時NOR Flash 的熱門應用智能音箱,也帶來了強勁的市場需求。

多方向的市場需求帶來 了NOR Flash 廠商的迅速崛起,各供應商相繼宣布擴產,搶占NOR Flash 市場。

以華邦 電、旺宏為首的台灣廠商紛紛宣布擴大生產規模。

2. 全球半導體行業整體情況

2018 年,在世界經濟增速放緩影響下,全球半導體產業發展也有所放緩。

2018 年全球半 導體市場增速為16%,市場規模達4778 億美元(2017 年為4122 億美元)。

預測2019 年 上半年全球半導體產業將在2018 年擴張放緩的趨勢影響下有所放緩,下半年將逐漸回暖, 市場規模將平穩擴大。

世界半導體技術也將從7nm 推進到5nm 的全新節點。

2.1 半導體行業的整體景氣度:全球經濟環境影響行業水 溫,需求有望重回增長基調

根據美國半導體協會統計,2018年全球半導體市場銷售總額突破4688 億美元,同比增長13.7%。

根據市場調研機構IC Insights 的分析,全球經濟增長狀況與全球半導體市場起伏有較強相關性。

1992-2018 年全球GDP 總量增速和全球半導體市場增長率展現了明顯的同步性。

結合宏觀經濟預測和近年來半導體行業的發展狀況,四家世界著名市場調研機構對2018 年全球半導體市場規模的統計數據和對2019 年的預測如下:

作為半導體行業晴雨表的北美半導體設備出貨量在經歷了2017 年的迅猛增漲後,2018 年呈現平穩上漲。

根據國際半導體產業協會(SEMI)公布的數據,2018 年北美半導體設 備出貨達278.66 億美元,較2017 年北美半導體設備出貨量255.97 億美元同比增長8.15%。

2019 年一季度,北美半導體月出貨量均呈現20%以上衰退,主要受Dram 及NAND Flash 價格仍看跌影響,內存廠資本支出計劃謹慎保守,加上晶圓代工廠的新廠裝機時間遲延 到下半年,造成 2019 一季度設備出貨金額創下逾兩年來新低。

綜合來看,預計2019 年 全球半導體市場規模有望繼續擴張,但增速放緩。

從市場地域分布來看,2018年全球半導體市場仍主要集中在亞太地區(除日本),占比60.34%;其次是美洲地區,占比21.97%;歐洲地區占比9.16%。

根據WSTS 在2019 年月日發布的2017-2019 年全球各主要地區半導體市場規模的統計數 據,2018 年全球各主要地區的半導體市場均有增長。

其中,美國半導體市場增長19.6%, 成為全球半導體市場增長最快的地區。

歐洲增長了13.2%,日本增長了9.6%,亞太地區 增長了16.0%,其中,中國大陸的集成電路產業規模為1235.2 億美元,增長率為12.9%。

對亞太地區半導體市場增長做出明顯貢獻。

根據WSTS 的預測,到2019 年美國半導體市場增長率將降至1.4%,歐洲1.9%,日本2.5%, 亞太地區的平均增長率將降至3.1%,中國大陸仍保持16.2%的增速、但為近五年來最低, 中國大陸將成為2019 年全球半導體市場增速最大的地區。

2.2 全球半導體的主要廠商:歷史積澱打造重量級玩家, 行業格局難以衝擊

市場調研機構Gartner 發布的2018 年全球前10 大半導體廠商排名表如下。

三星電子得 益於DRAM 內存晶片市場的發展,繼續占據了排行榜榜首,而英特爾仍屈居第二位。

由於 存儲器市場的供需關係,SK 海力士也保持了第三位。

博通、西部數據分別前進一位。

意 法半導體則前進兩名,一躍進入前十排行榜。

在2018 年前10 大廠商排名中後退的廠商 主要有:高通退後一位,排名第六位;而東芝受PC 市場萎縮影響,則由2017 年的第八 位跌出前十名。

從地區分布來看,在2018 年全球前10 大半導體廠商排名中,美國有6 家廠商上榜,歐 洲2 家,韓國2 家。

從廠商的企業類型來說,IDM型廠商有7 家,Fabless 型廠商3 家(高通、博通和恩智浦)。

2018 年全球前十大半導體廠商的合計營收為3780.45 億美元,較2017 年全球前十大半導 體廠商的合計營收3251.78 億美元增長了20%。

而2018 年全球前十大半導體廠商的合計 營收占全球半導體市場份額的79.3%。

設備廠商方面,2018 年全球前10 大設備廠商中,日本占5 家,美國占4 家,日本占1 家。

其中應用材料仍占據第一位寶座,銷售規模達140.16 億美元,但同比增速僅為6.5%, 低於前十名平均增速;第二位是ASML,同比增長30.9%,主要由於該公司在EUV 設備的 世界壟斷地位。

材料廠商方面,產業壟斷現象同樣存在。

半導體材料市場主要分為晶圓製造材料和半導 體封裝材料兩部分,其中三分之二為晶圓製造材料;而從晶圓製造材料細分市場來看, 其中矽片製造占市場比重最大。

根據SEMI 統計,全球一半以上矽片產能集中於日本,2017 年日本廠商信越、勝高分別以28%、25%的全球總份額占據矽片市場前兩位,我國台灣廠 商環球晶圓以17%的比例位列第三;從總體上看,全球前五大矽片廠商市場占有率達94%。

2.3 全球半導體產業併購態勢:巨額併購不斷湧現,地區 監管障礙突顯

歷經2015 和2016 兩年的全球半導體產業併購狂潮,2018 年延續了2017 年的平穩併購態 勢,且規模小幅縮減。

原因在於收購目標的減少與收購後面臨的監管審查日益嚴苛,或 買賣雙方正在經歷著漫長的討價還價。

據IC Insights的報導,2018 年全球半導體產業中併購交易總額為232 億美元,與2017 年的281 億美元,相比小幅下滑,但遠低於2015 年的1073 億美元。

在2018 年全球半導體產業已發生的併購案例共10 多起,其中交易額在20 億美元以上的 共3 起,即(博通以190 億美元收購CA,然而並未算入本年度併購規模),微芯半導體 以83.5 億美元收購美高森美,聞泰科技以38 億美元收購Nexperia。

其中微芯收購美高 森美和文泰科技收購Nexperia 兩起併購案的交易額共達121.5 億美元,占2018 年全球 半導體產業併購交易總額的65%,占到了舉足輕重的地位。

進入2018 年後,隨著AI 技術進一步地迅猛發展,以增大AI 業務為目的的收購事件越來 越多,例如英特爾於2018 年8 月收購Vertex.AI。

今後一段時間內,隨著人工智慧和其 他新興技術的發展,類似的企業兼并收購預期會大量發生。

3. 中國半導體行業整體發展情況

3.1 中國半導體的發展現狀:行業蓬勃發展,全球比重日 漸加大

中國半導體市場占全球行業總體比重在逐年上升。

2017 年亞太地區(除日本)半導體銷 售額全球占比為60.0%,達到2488 億美元。

從銷售增速來看,亞太(除日本)地區在高 銷售額基數上依然保持快速增長,2017 年年增19.4%。

在亞太區(除日本)中,中國大 陸半導體銷售額占全球的31%,2018 年中國區銷售額達1581 億美元,同比增長21.9%。

2017 年中國已經成為全球最大的集成電路市場。

隨著產業結構的加快調整,中國集成電路市場規模將持續增長。

根據CCID 的預測,2018 年我國集成電路產品的市場規模為 15119.8 億元,同比增長6.1%。

2019 年我國集成電路產品市場規模將增長1.3%,達到 15316.3 億元。

2020 年我國集成電路產品的市場需求將提升至16449.7 億元,同比增長 7.4%,2014-2020 年平均複合增長率為8.8%。

2013-2018 年我國集成電路產業高速發展,2018 年我國集成電路設計業銷售額為2519.3 億元,同比增長21.5%。

2018 年晶片製造業銷售額為1818.2 億元,同比增長25.6%;封 裝測試業銷售額為2193.9 億元,同比增長16.1%。

三類產業中,2018 年晶片製造業增速 迅猛的主要原因是受到國內晶片生產線滿產以及擴產的帶動,國內晶圓廠生產規模接近 於五年最好水平,2018 年國內已有6 座12 英寸晶圓生產線和4 座8 英寸晶圓生產線完成 了新建和擴建投產。

2018 年我國集成電路產業鏈結構中,設計業占比最高,占產業鏈整體的38.6%,比上年 降低0.3 個百分點;晶片製造業占27.8%,比上年提高1 個百分點;封裝測試業占比33.6%, 比上年降低1.3 個百分點。

3.2 中國半導體行業投資情況及政策支持:半導體基建持 續加大,國產化政策指向清晰

2019 年是我國歷史上首次,將5G、人工智慧、工業網際網路、物聯網為代表的新型基礎設 施建設,定性為基建的重要內容,排列順序甚至在城際交通、物流、市政基礎設施等傳 統基建類項目之前。

基礎設施建設通常是國家發揮投資槓桿作用,拉動內需促進經濟增 長的主要方式,此舉充分表明我國未來基建投資的側重點將更加傾斜科技產業領域,財 政資金配套更加到位。

以5G、人工智慧、工業網際網路、物聯網為代表的「科技新基建」 將成為經濟增長的重要引擎之一。

半導體產業是新基建的底層應用支持產業,中國將在 國家政策的引領下,持續加大投資,加快半導體產業的國產化進程。

……

4. 集成電路設計篇

4.1 晶片設計行業基本情況

集成電路設計領域處於集成電路產業上游,是指以集成電路為目標的設計流程。

集成電 路設計涉及對電子器件(例如電晶體、電阻器、電容器等)、器件間互連線模型的建立, 市場主要由下游終端需求決定。

IC(Integrated Circuit)設計從模式來看,主要分為Fabless 和IDM,IDM 為集晶片設 計、製造、封測一體的企業,Fabless 為只做晶片設計的企業。

最開始的大部分晶片企業 都是IDM,1982 年全球第一家Fabless 公司LSI Logic 成立,1987 年第一家Pure-play Foundry 公司台積電成立。

隨著晶片製造技術的提升,有能力的承擔巨額投資的企業越來 越少,很多IDM 企業紛紛剝離其製造業務,專注於IC 設計。

再往後,隨著集成電路行業 分工進一步細化,產業鏈形成IP、設計、晶圓、封裝的上下游體系。

從IDM 模式與Fabless 模式的對比來看,Fabless 由於專注於設計,投資額較小,適合創 業者進入,但也會面臨難以找到代工廠的問題,而IDM 模式屬於重資產模式,投資額大, 由於只服務自家產品,如果自家場面市場需求面臨變化,會出現產能利用率不足的問題。

此外,IDM 具有資源整合的優勢,由於不需要進行矽驗證,IDM 企業從IC 設計到IC 製造 需要的時間較短,而Fabless 由於與Foundry 的工藝流程難以及時對接,產品上市時間 較慢。

從Fabless 和IDM 企業銷售對比來看,2000 年Fabless 和IDM 企業銷售額分別為170 億美金和1718 億美金,2017 年分別為1000 億美金和2636 億美金。

2000-2017 年Fabless 企業的收入CAGR 為10.9%,而IDM 企業為2.6%,Fabless 企業增速顯著高於IDM 企業。

從過去歷年收入增速的對比圖來看,大部分時間的Fabless 的收入規模增速都高於IDM。

2017 年由於存儲器價格暴漲,存儲廠商營收大增,而大部分存儲廠商都是IDM 模式,因 而IDM 廠商總體營收增速顯著高於Fabless 廠商。

Fabless 模式的蓬勃發展,正是IC 設計行業的飛速進步的體現。

……

IC 設計的各個環節均需要用到EDA(Electronic Design Automation)工具,EDA 行業現在 已形成了Synopsys、Cadence 和Mentor Graphics 三足鼎立之勢,2017 年這三巨頭的收 入占據了全球EDA 行業總收入的70%。

我國EDA 企業與三巨頭存在較大差距,國內目前從 事該行業的主要有華大九天、廣立微、芯禾科技。

IP 核為具有智慧財產權的可以移植到別的晶片中的模塊,一個晶片往往需要多個IP 的組合 來實現不同的功能。

處理器IP 授權和接口IP 授權是IP 授權服務最大的構成部分,2016 年兩者合計占比62.5%。

處理器IP 中ARM 的市場份額最大,接口IP 中Synopsys 是其 中的王者。

晶片設計企業所用的EDA工具和IP 核均存在外包情況,其中EDA 工具幾乎全部都是外包,IP 授權將近60%-70%外包,一些技術實力強勁的企業會自己使用自己的IP。

……

4.2 全球晶片設計產業情況

4.2.1 全球IC 設計產業概況:輕資產模式快速增長

從全球的IC 設計產業來看,近年來行業規模能保持5%左右的增速,在集成電路總產值中 的占比約在30%左右。

2017 年IC 設計產值達到1006 億美元,同比增長6.5%,占集成電 路總產值比重為29.3%。

2018 年IC 設計產值比2017 年增長83 億美元,同比增長8.3%, 達到了1089 億美元。

從全球IC 設計產業市場占有率來看,美國公司在全球IC 設計中的產值銷售額占比領先 地位非常穩固,2018 年占比為68%,與2010 年相比僅低了一個百分點。

中國進步迅速,2018 年占比達到了13%,在2010 年占比僅為5%,提高了8 個百分點。

另外,從全球排名 前50 的Fabless 模式的IC 供應商的數量來看,中國進步也非常迅速,2009 年國內僅有 海思一家進入全球前50,2017 年有10 家公司進入全球前50。

2018 年全球前10 大Fabless 模式IC 設計公司中,博通排名第一,取代了此前一直被高 通占據的榜首位置,主要是因為高通受累於智慧型手機行業的不景氣,營收出現負增長, 而博通對手機業務的依賴相對較低。

海思營收增速最快,達到34.3%,位列第五,相比2017 年的第7 名提升了2 名,海思的高速增長主要來自華為手機出貨量大增。

紫光集團 在2017 年排名第10,2018 年未進入排行榜前10。

全球Fabless 模式主要公司簡介如下:

……

4.2.1技術端:開源架構有望對壟斷形成衝擊

在集成電路領域,晶片設計與製造以及封測環節有著非常顯著的不同特徵,主要體現在: (1)晶片設計企業需要直接面對下游極其豐富的多樣化需求,這導致不同的企業的之 間的能力很難互通,例如英特爾在PC 處理器方面的實力很強也難以跨入通信基帶晶片 領域(已經放棄研發5G 晶片研發)。

製造和封測面對的是相對標準化的產品,技術實 力強的代工廠可以生產多種類型的晶片。

(2)晶片設計企業的核心資產主要是技術、專利和人才,一流的企業往往通過構建行 業標準、應用生態以及掌握壟斷專利來形成競爭壁壘,具有非常明顯的先發優勢。

晶片 設計行業的一個顯著特點是不能僅僅看到晶片,還要看到與晶片配套的系統和應用軟體。

以電腦處理器為例,在Windows 系統成為行業標準之後,能支持Windows 系統的X86 架 構的CPU 便成了行業唯一的選擇,即使其他企業可以研發出性能更加強的CPU,但是由 於無法使用X86 架構專利從而無法支持Windows 系統,下游系統和應用軟體廠商也不可 能專門為這款CPU 重新設計軟體,因而會陷入無人願意採用的情況。

手機方面,在基於ARM 架構的安卓和IOS 系統占據絕對主導地位之後,其他架構的晶片就已經失去機會。

展望未來晶片設計行業的變化,對軟體應用生態依賴性較低的晶片,如存儲器,模擬芯 片等,未來的發展主要是在已有的基礎上進行改良性的設計,從而實現更加好的性能。

對軟體應用生態依賴性較高的晶片如CPU 上,在行業標準已經統一。

應用生態已經非常 完善的PC 和手機領域,未來的技術路徑基本上是沿著摩爾定律開發性能更加強、功耗 更加低的處理器。

在移動設備市場上,雖然目前ARM 是主流,但是對於物聯網等行業標 准尚未統一,且應用程式相對較少的領域而言,其他架構如RISC-V 和 MIPS 架構均有機 會憑藉其廉價、性能等優勢實現反超。

4.2.2供給端:中小IC 設計公司有望打破晶圓代工產能制約

隨著晶片製造工藝的不斷提升,投資規模越來越大,越來越多的晶片公司從IDM 模式轉 向Fabless 模式。

Fabless 模式可以使得晶片企業專注於設計,但是產能會受制於晶圓代 工廠。

對於晶圓代工廠而言,從經濟效益考慮出發,內部會有客戶訂單序列,優先安排 大客戶的訂單,甚至會專門為大客戶專門開發工藝製程。

而對於中小客戶而言,訂單序 列比較靠後,而且一般不會為中小客戶專門開發一種新的工藝或者增加產能,這會使得 一些中小型的IC 設計公司在競爭中處於劣勢。

目前晶圓代工廠在生產模式上的創新(如 共享IDM 模式)以及我國未來新建晶圓廠的產能釋放,有望極大緩解中小IC 設計公司的 產能制約。

共享IDM(CIDM)模式的提出有望打破中小型IC 設計公司的晶圓代工產能瓶頸。

CIDM (Commune Integrated Device Manufacturer)模式,即創建共享共有式整合元件製造 公司,整合晶片設計、晶片工藝技術研發、晶片製造,晶片封裝測試工藝。

簡單來說, 就是幾家設計企業共同合作設立一個IC 製造廠,專門為這幾家客戶服務。

在這一模式下, 過去Fabless 模式的IC 設計公司將擁有晶片製造廠的專屬產能及技術支持,IC 製造廠有 了固定的客戶,訂單得到市場保障。

通過這種模式幾家IC 企業實現了資源共享、能力協 同、資金及風險分擔。

在技術方面,CIDM 一開始不需要像專業的Foundry 為了滿足多樣 化客戶的需求提供多種工藝,只需要提供10-20 種工藝,製程在28-40nm 即可,這樣可 以有比較大經濟效益。

2018 年5 月國內第一家協同式集成電路製造(CIDM)項目正式開工建設,總投資約150 億 元,其中一期總投資約78 億元,項目建成後可以實現8 英寸晶片、12 英寸晶片、光掩膜版 等集成電路產品的量產。

預計2019 年底一期可以投產。

此外,我國目前晶圓廠產能處於高速擴張期,也有望為中小IC 設計公司釋放更多晶圓代 工產能。

從全球範圍來看,我國晶圓廠產能占比迅速提升,根據IC Insights 的數據, 截止2018 年底,大陸晶圓廠月產能236.1 萬片約當8 英寸晶圓,約占全球比重12.5%, 位居第五,與2017 年的10.8%相比提升了1.7 個百分點,是當年全球增加最多的地區。

從2017-2020 年全球新建晶圓廠的分布情況來看,大陸的占比高達42%。

按照SEMI 的數 據,預計到2020 年,大陸晶圓廠裝機產能將達到每月400 萬片(WPM)8 英寸晶圓,與2015 年的230 萬片相比,年複合成長率(CAGR)為12%,成長速度遠高過所有其他地區。

大陸高 速增長的晶圓產能將為國內的IC 設計公司提供產能保障,有效緩解中小IC 設計公司的 產能焦慮。

4.2.3需求端:傳統消費電子有望回暖,人工智慧晶片百花齊放

IC 設計作為集成電路製造的上游,涉及電子產品的各種種類,其需求端的劃分同樣分為 消費電子、通信類、計算機、汽車電子等領域。

從廣義上來看,消費電子應該包含手機 和PC、平板,如果以這個角度來看,消費電子是構成集成電路下游應用的主要部分,占 比超過一半以上。

從消費電子的具體構成來看,手機、PC 是主要構成部分。

PC 市場在經歷了連續幾年的 下跌後,2019 年有望迎來復甦,預計全球出貨量微增0.3%,但是PC 市場的硬體創新短 期難以有較大突破,下游需求比較穩定,除了內存價格在2017-2018 年經歷了暴漲之外, 大部分時間晶片價格基本保持平穩,預計未來幾年PC 市場對於晶片設計的貢獻與往年 變化不大。

手機裡面包含處理器晶片、顯示驅動晶片、基帶通信晶片、觸摸屏控制晶片、功率管理 晶片、電源管理晶片、存儲晶片等。

目前來看,手機市場已經處於存量市場,預計下一 輪換機潮將由5G 推動。

5G 時代,智慧型手機ASP 有望持續提升,2018 年為325 美金,預 計2022 年可達412 美金,2018-2022 年CAGR=6%。

5G 手機不僅要能滿足5G 的需求,同 時要兼容2G、3G 和4G,有望帶動基帶通信晶片和射頻前端晶片價值的提升。

在創新趨勢減緩和全球經濟增長放緩的背景下,2018 年智慧型手機的整體出貨量出現下滑, 但是高端機的需求仍然旺盛。

根據Counterpoint,2018 年在整體手機銷量下滑3%的情況下,高端手機(400 美元+)的整體銷量上揚了18%,而200 美元以下的手機則下滑 了接近10 個百分點。

近年來層出不窮的硬體創新將成為手機廠商衝擊高端領域的主要 手段,硬體創新將持續帶動對於晶片設計的需求,主要體現在:攝像頭越來越強大,圖 像傳感器的晶片的要求更加高,帶動傳感器晶片價值提升;處理器性能更加強大,功耗 更加低,內存更加大,帶動晶片價值提升;屏下指紋識別方案開始流行,相比傳統的指 紋識別,晶片價格會大幅提升,傳統的指紋識別晶片價格不到1 美元,而光學和超聲的 屏下指紋識別晶片價格分別在7 美元和13 美元左右,價格大幅提升。

除傳統應用領域外,人工智慧未來有望成為IC 晶片需求端的重要的拉動力。

根據中國 信通院發布的《2017 年中國人工智慧產業數據報告》顯示,2017 年我國人工智慧市場 規模達到216.9 億元,同比增長52.8%,預計2018 年市場規模將達到339 億元。

據預測, 到2020 年,中國人工智慧核心產業規模超過1500 億元。

人工智慧帶來的變化和之前網際網路、移動網際網路等顛覆性技術創新發生的時候有很大的 不同點——硬體將占據超過50%。

根據麥肯錫預測,未來10 年,人工智慧和深度學習 將成為提升矽片需求的主要因素,2025 年,人工智慧將推動半導體產業收入超過600 億 美元,接近全球半導體銷售的20%。

人工智慧發展的三大要素為算法、數據和算力,其中算力對於人工智慧的普及具有非常 關鍵的作用。

從2012 年的AlexNet 到2018 年AlphaGo Zero,計算力提高了30 萬倍。

根據OpenAI 最新的分析,近年來人工智慧訓練任務所需求的算力每3.43 個月就會翻 倍,這一數字大大超越了晶片產業長期存在的摩爾定律(每18 個月晶片的性能翻一倍)。

算力提高的關鍵在於晶片設計,傳統的晶片架構已經難以支撐人工智慧發展的需要,各 大企業紛紛推出人工智慧專用晶片。

根據Gartne 報告分析,AI 晶片在2017 年的市場規 模為48 億美元,2020 年預計達到146 億美元,年均複合增長率為45%。

當前主流人工智慧晶片有三類:以GPU 為代表的通用晶片、以FPFA 為代表的半定製化 晶片和ASIC 定製化專用晶片。

(1) GPU: 早期曾用CPU 來做機器學習,由於GPU 在並行加速計算上相比CPU 速度 快很多,GPU 在人工智慧的應用中開始占主導地位。

深度學習算法分為訓練和推 斷兩部分,在算法訓練由於並行計算較多,GPU 運算非常高效。

但在推斷中,由 於需要對單項輸入進行處理,GPU 並行計算的優勢無法完全發揮。

(2) FPGA:FPGA 被稱為半定製化晶片,並行計算能力同樣很強,但是功耗遠低於GPU 和CPU。

它的一大特點是功能可以通過編程來修改,對於某個特定的任務,可以 通過編程重組電路,直接生成專用電路。

與AISC 相比,它的一次性成本(光刻 掩模製作成本)遠低於ASIC,缺點是量產成本很高。

在晶片需求還未成規模、 深度學習算法暫未穩定, 需要不斷疊代改進的情況下,利用FPGA 晶片具備可 重構的特性來實現半定製的人工智慧晶片是最佳選擇之一。

(3) AISC:AISC 是面向特定應用需求而定製的晶片。

它的特點是只能用於某種特定 的用途,晶片的功能一旦流片後則無法更改,如果不能保證出貨量其單顆成本 難以下降,若市場深度學習方向一旦改變,ASIC 前期投入將無法回收,市場風 向較大。

但ASIC 作為專用晶片性能高於FPGA,如能實現高出貨量,其單顆成本 可做到遠低於FPGA 和GPU。

一般來說,通用性越強晶片,那麼對應的性能以及功耗就越差。

CPU 的通用性最強,幾 乎任何算法都可以在CPU 上跑,但是性能和功耗也是最差的,現在已經很少用來做人工 智能的運算。

GPU 由於在強大的並行計算能力以及完善的生態系統支持,現在處於主導 地位。

FPGA 由於可以通過編程重組電路,在通用性和性能之間取得一個比較好的平衡, 但是較高的開發門檻以及量產成本阻礙了它的推廣。

AISC 雖然性能最高,但是市場風險 最高,適用於非常明確且不會變化的應用場景。

人工智慧晶片的應用場景大體可分為雲端和終端兩類。

在雲端方面可分為推斷和訓練兩 個層面,其中訓練層面的市場規模占比較高。

訓練層面需要的數據量大、計算較多,目 前以GPU 為主。

推斷層面除了GPU 之外,FPGA 和AISC 也構成重要補充,FPGA 相比GPU 延遲低很多,在面向海量用戶低延遲的推斷方面有極大優勢,這成為它在推斷層面應用 普及的重要原因。

AISC 大規模量產後性能和成本是最強的,目前主要是Google 的TPU 在做。

由於網絡傳輸速度和帶寬的限制,不能將所有的人工智慧任務交給雲端,需要將大量的 任務交給終端。

目前主要的終端場景包括手機、ADAS 和機器視覺、VR 等。

在手機方面, 目前應用場景主要是人臉解鎖、拍照中的物體識別中應用。

晶片環節由新的AI 架構設 計商和傳統晶片廠商合作提供AI 晶片,集成環節引入了AI 算法提供商。

目前主流的方 案是將專用的AI 處理器集成到Soc 上,AI 算法可以通過調用專門的AI 處理器實現AI 功能。

例如,華為的麒麟970 和980 晶片上就是集成了寒武紀NPU 晶片作為專門處理AI 應用的單元,寒武紀的NPU 晶片可以歸類為AISC 晶片。

高通、蘋果等也採用類似的方 案在Soc 集成了專門處理AI 應用的晶片。

在汽車領域,人工智慧晶片的主要運用場景是在自動駕駛(ADAS)上。

目前由於ADAS 商業化應用不成熟,算法仍處在不斷疊代中,晶片選擇主要還是以GPU 為主,英偉達、 高通等龍頭企業均採用CPU+GPU 模式,而以地平線機器人則採用基於ASIC 架構(如BPU) 的晶片設計,並將其集成至ADAS 上。

如果從長遠來看,AISC 將有機會成為自動駕駛AI 晶片的主流。

因為自動駕駛對晶片運算能力要求極高,並且需要極低的延遲,出於續航 考慮,還需要很低的功耗,並且要求能適應極端天氣。

在以上幾個方面,AISC 對GPU 均有明顯優勢。

如果等到自動駕駛汽車大規模量產,且算法穩定,AISC 有望取代GPU 占據主導地位。

從目前自動駕駛晶片的競爭格局來看,英偉達和Mobileye 處於領先優勢,英偉達是傳 統的晶片廠商切入自動駕駛,Mobileye是自動駕駛平台開發者進入晶片領域,兩者代表 了切入自動駕駛領域的兩類公司。

英偉達的平台開放性強、性能較好,Mobileye的平台 能提供一體化的解決方案,比較封閉。

從整個人工智慧晶片的競爭格局來看,目前全球GPU 市場基本被英偉達壟斷,高性能的GPU 門檻極高,其他競爭者除了AMD 這種有多年豐富經驗的之外,很難撼動英偉達的地位。

FPGA 領域賽靈思和阿爾特拉通過交叉專利授權構築的競爭壁壘使得外部競爭者難以追趕。

傳統CPU 廠商英特爾通過收購手段全面布局FPGA 和ASIC,一方面通過收購阿爾特拉進入FPGA 市場,另一方面通過收購無人駕駛企業Mobileye 和視覺處理器公司Movidius,布局無人 駕駛和計算機視覺。

總體看來,對於想要進入人工智慧晶片的企業,AISC 會是未來的主戰場。

雖然目前AISC 晶片在人工智慧中的應用還不是很廣泛,但是隨著未來算法的成熟已經具體應用場景的落 地,AISC 晶片量產成本低、性能高、功耗小的優勢會逐漸凸顯。

另外,與GPU 和FPGA 相 比,AISC 的專利壁壘要小得多,而且設計一款AISC 晶片難度也遠小於GPU 和FPGA。

目前已經有諸多企業通過AISC 的方式研發人工智慧晶片,寒武紀的NPU、地平線的BPU 以及谷歌的TPU 都屬於AISC 晶片。

AISC 強調對於應用場景的深入理解,這是老牌的晶片 廠商所不具備的。

4.3 我國晶片設計行業情況

4.3.1我國IC 設計行業概況:行業規模持續高增長,消費電子與 通信為最大需求動力

從整體市場規模來看那,我國IC 設計產業銷售規模增長迅速,2018 年銷售規模2519億 元,同比增長21.46%,過去幾年一直保持20%以上的增速。

從在全球中的占比來看,國 內IC 設計產業銷售額全球IC 設計業比例從2012 年的12.9%提升到2017 年的31.5%,占 比有了較大幅度的提高。

從我國IC 設計產業產品銷售額分領域分布來看,通信占比最大,2018 年實現銷售額1047 億元,同比增長16.3%,占比約40%,比年同期下降將近6 個百分點。

在模擬的電路這塊, 銷售額占比仍然較低,2018 年實現銷售額142 億元,占比約5.5%,同比提升了2 個百分 點。

與國外已開發國家相比,我國IC 設計產業起步較晚,與國外龍頭存在較大的差距。

近年來, 在國內企業的努力下,國內IC 設計產業在各個領域均取得了一系列的突破性的成果。

(1) 桌面和伺服器CPU

在桌面CPU 方面,由於X86 架構存在專利問題,英特爾不對外授權,國內開發X86 架構 的CPU 難度極大,龍芯在開發CPU 的時候便選擇了MIPS 架構。

2017 年4 月,龍芯正式發 布了龍芯3A3000/3B3000、龍芯2K1000、龍芯1H 等產品,還和眾多合作廠商發布了龍芯 筆記本電腦、龍芯伺服器等一系列產品。

其中龍芯3A3000 採用了中芯28 納米FDSOI 工 藝製程,基於自主指令系統LoongISA 打造的GS464E 架構設計,是一枚64 位的四核處理 器。

龍芯的微架構由中科院自主研發,為了兼容MIPS 指令集,2011 年龍芯就已購買了MIPS64 位架構授權。

由於龍芯的CPU 不是X86 架構,目前無法兼容Windows 系統的應用, 主要還是運行Linux 系統。

國產X86 架構的代表著是上海兆芯,兆芯的X86 架構授權來自台灣威盛電子,台灣威盛 電子持有兆芯20%的股權,是除Intel、AMD 之外,唯一一家擁有X86 架構授權的公司。

2017 年12 月28 日,兆芯正式發布了新一代KX-5000 系列晶片,這是兆芯第一款採用SoC 設計的高端通用CPU,是國內首款支持DDR4,且支持雙通道DDR4 內存的國產通用CPU, 具有里程碑的意義。

該款CPU 可以對標Intel 第六代i3 處理器,主機頻率可以達到2GHz。

(2) 移動平台CPU

移動平台的CPU 基本上都是基於ARM 的架構,ARM 的架構可以通過付費來獲得使用和修改 的權限。

ARM 架構的CPU 中,手機用晶片由於對於性能和功耗控制要求高,研發成本非常 高,目前全球僅剩為數不多的幾家公司可以具有設計手機CPU 的能力,華為旗下的海思 半導體是國內移動平台的CPU 的佼佼者。

2009 年,華為發布了成立以來第一款智慧型手機 晶片K3v1,但是由於不成熟,未走向市場化。

2012 年,華為發布了K3v2 晶片。

這款芯 片採用了1.5GHz 主頻四核Cortex-A9 架構,第一次將海思晶片用在自己的手機上。

2018 年8 月31 日,華為發布了全球首款量產的7nm 手機晶片——麒麟980,980基於ARM Cortex-A76 CPU 架構進行開發,比驍龍845 性能領先37%,能耗降低32%。

在GPU 上,麒 麟980 成為首款搭載最新的Mali-G76 GPU 架構的移動端晶片。

(3) 人工智慧晶片

與通用晶片不同,人工智慧晶片一般來說只需要滿足特定的算法,設計難度相對較小, 而且一般不存在需要與主流的架構兼容問題,專利問題較少。

目前來看,國內目前不論 是大型網際網路公司如BAT 之類,還是傳統的晶片公司如華為海思均有涉及人工智慧晶片。

2018 年5 月3 日中國科學院在上海發布了我國第一款雲端人工智慧晶片——寒武紀MLU100。

該款晶片可工作在平衡模式和高性能模式下,平衡模式下的等效理論峰值速度 達每秒128 萬億次定點運算,高性能模式下的等效理論峰值速度可達每秒166.4 萬億次 定點運算,而典型板級功耗僅為80 瓦,峰值功耗不超過110 瓦。

(4) 基帶晶片

2019 年1 月,華為正式面向全球發布了5G 多模終端晶片——Balong 5000。

Balong5000 體積小、集成度高,能夠在單晶片內實現2G、3G、4G 和5G 多種網絡制式,有效降低多 模間數據交換產生的時延和功耗,率先實現業界標杆的5G 峰值下載速率,在Sub-6GHz (低頻頻段,5G 的主用頻段)頻段實現4.6Gbps,在毫米波(高頻頻段,5G的擴展頻段) 頻段達6.5Gbps,是4G LTE 可體驗速率的10 倍。

Balong 5000 在全球率先支持SA(5G 獨立組網)和NSA(5G 非獨立組網,即5G 網絡架構在LTE 上)組網方式,可以靈活應對5G 產業發展不同階段下用戶和運營商對硬體設備的通信能力要求。

2019 年2 月,紫光也發布了基於馬卡魯平台的首個5G 基帶——春藤510,也是一款多模2/3/4/5G 晶片,同時支持NSA 及SA 組網,這是繼續高通、華為、聯發科、三星之後第五 款5G 多模基帶晶片。

該晶片採用12nm 製程工藝,主打中低端市場。

4.3.2我國IC 設計行業本土化情況:產品覆蓋較為全面,高端領 域加速突破

從國內的IC 設計企業營收排名來看,海思受益於華為手機出貨量增長,預計2018 年營 收將達到503 億元,同比增長30%,穩居國內第一的位置。

紫光展銳主要從事通訊基帶芯 片的研發,2018 年收入110 億元,與去年基本持平,排名第二。

北京豪威是全球前三大 圖像傳感器供應商,2018年收入100 億元,同比增長10.5%。

中興微電子是中興通訊的 控股子公司,是全球少數能提供通信雲、管、端全領域晶片的廠商。

中興微2018 年營收61 億元,同比下降了近20%。

華大半導體在新型顯示方面的觸控及OLED 晶片技術全球領 先。

匯頂科技是安卓陣營全球指紋識別方案第一供應商。

格科微的主要產品為CMOS 圖像 傳感器。

紫光國微是國內領先的安全晶片供應商。

兆易創新產品以MCU 和NAND 及NOR Falsh 快閃記憶體晶片為主。

總體來看,我國IC 設計企業的產品已經覆蓋比較全面,涵蓋手機Soc、基帶晶片、指紋 識別以及銀行安全晶片等,在一些細分領域也位居全球前列。

例如指紋識別晶片方面匯 頂科技市占率全球領先,基帶晶片方面華為和展訊是全球基帶晶片實力領先的企業,圖 像傳感器晶片方面北京豪威(正在被韋爾股份收購)是全球第三大的供應商。

但是,在高端晶片領域,我國與晶片的占有率還非常低,和國際巨頭差距明顯。

尤其在PC 和伺服器的晶片領域,我國占有率基本為0,FPGA 以及存儲器晶片方面目前也基本處 於有待突破的狀態。

5. 半導體製造篇

5.1 半導體製造行業基本情況

晶圓製造是指晶圓製造廠接受版圖文件(GDSII 文件),通過光刻、摻雜、濺射、刻蝕 等過程,將掩膜上的電路圖形複製到晶圓基片上,從而在晶圓基片上形成電路的過程。

製造完成的晶圓再送往下游封裝測試場進行封裝和測試。

……

5.2 全球半導體製造產業情況

5.2.1 全球晶圓製造產業概況:規模平穩增長,產業聚集效應明顯

據IC insights的全球晶圓產能報告指出,在經過2017 年增長7%之後,2018 年全球晶 圓產能將繼續增長8%。

其中,眾多的DRAM 和3D NAND Flash 生產線導入是晶圓產能增加 的主要因素。

然而,由於全球政經局勢動盪與貿易戰環境的影響,根據拓璞產業研究院 報告顯示,2019 年第二季全球晶圓代工需求持續疲弱,各代工廠營收同比普遍下滑,預 估第二季全球晶圓代工總產值將較2018 年同期下滑約8%,下滑約154 億美元。

預計今年 全球晶圓代工產業將面臨10 年來首次負成長,總產值較2018 年衰退近3%。

而未來隨著 汽車電子、高效運算、5G、AI 等應用領域的崛起,或將帶來全球晶圓製造的再次復甦。

……

5.2.2技術端:晶圓代工技術節點不斷接近摩爾定律極限

集成電路製造是一個在特定薄膜上製造特定圖形的過程。

其中的氧化、外延、摻雜、沉 積等工藝為薄膜製造工藝,光刻(曝光和刻蝕)工藝為圖形製作工藝。

曝光和刻蝕是集成 電路完成圖形製作的最核心工藝,縮小加工尺寸首先要減小曝光光源的波長。

20 世紀70 年代中期以前,曝光光源為汞燈,汞燈是種多波長的光源,其波長範圍為400 ~700m 1982 年,曝光光源改進為紫外光(UV) g 線(波長為436nm)和i 線(波長為365nm)。

1994 年,曝光光源波長進入深紫外光領城(DUV),主要為準分子雷射KrF (波長為248nm) 和ArF (波長為193nm)。

2003 年12 月,荷蘭ASML 公司發布了全球首套商用浸沒式光刻設備,將純凈水充滿投影 物鏡最後一個透鏡的下表面與矽片之間,使得曝光光源的有效波長縮短,將193mm 光刻 延伸到32mm CMOS 技術節點。

此外,利用雙曝光/成像技術,將193nm 浸沒式光刻技術擴 展到了10nm/7mm 技術節點。

工藝微縮是指隨著工藝能力的提高,可以加工出更小尺度的器件,這也就意味著在相同 面積的晶片上可以集成更多的器件,是集成電路製造技術發展的最重要的特徵之一。

集 成電路中的有源區、柵、接觸孔、金屬互連線等關鍵部位的大小和間距等關鍵參數稱為 特徵尺寸,具備某系列特徵尺寸的技術稱為技術節點或技術代,如20 世紀的0.35um 技 術代或技術節點,21 世紀初的90nm 技術代,當前的14nn/10nm 技術代等。

在工藝微縮過 程中,特徵尺寸的縮小,要求薄膜厚度、pn 結深度等工藝參數也隨之縮小,這就加大了 集成電路製造工藝的難度。

1989 年,英特爾公司的80486 CPU 集成了約100 萬個電晶體; 2015 年,甲骨文公司的SPARC M7 集成了100 億個電晶體。

過去50 多年的工藝微縮都是 遵循摩爾定律的。

截至2017 年,極紫外光刻機的成熟度尚不能滿足大規模量產的需求,而繼續採用浸沒武 深紫外光刻技術必須將集成電路中的一層圖形分割成三次甚至四次曝光,這就導致集成 電路微縮過程中的圖形化難度大大提高。

正是技術難度和成本的雙重提升,導致了產業 發展相比摩爾定律的預測有所延緩。

因此有人說摩爾定律即將或已經終結,從而使集成 電路產業的發展進人後摩爾時代。

業界對於未來後摩爾時代的技術發展,已分成延續摩爾定律( More Moore )和拓展摩爾 定律( More than Moore)兩個方向。

延續摩爾定律指的是工藝持續微縮,隨之將引入新 的器件結構、新工藝、新材料,FinFET 有望被沿用至7nm 節點,5nm 以下的節點則可能 引入圍柵納米線或其他新型器件,而極紫外光刻機將在7nm/5nm 節點引入量產。

拓展摩 爾定律所涵蓋的技術較多,其中一部分是為滿足特定需求而開發的差異化技術;另一部分 是為後摩爾時代準備的,如通過三維集成(3D Integration)和三維封裝(3D Packaging) 技術,可在維持成本下降的前提下,進一步提高晶片的整體集成度和性能,而矽基光互 連及其他非矽基的新型技術也可能被應用到量產中。

2019 年4 月,台積電宣布率先完成5nm 的架構設計,基於EUV 極紫外微影(光刻)技術, 且已經進入試產階段。

除5nm 已順利試產並計劃明年量產外,量產一年後將再推出效能 及功耗表現更好的5+ nm。

此外,台積電7+nm 將進入量產,並為華為海思生產研發代號 為Pheonix 的新款Kirin 985 手機晶片。

台積電現階段EUV 設備光源輸出功率280W,預 計年底將提升至300W,明年再升至350W。

光源輸出功率提升也帶動設備稼動時間比率, 由去年的70%提高至今年的85%,預計明年應可達到90%水平。

另一方面,第三代半導體在功率半導體領域製程技術也在不斷突破。

第三代半導體材料 主要是以碳化矽(SiC)、氮化鎵(GaN)、氧化鋅、金剛石、氮化鋁為代表的寬禁帶(禁帶寬 度> 2.3eV)的半導體材料。

與第一代和第二代半導體材料相比,第三代半導體材料具有 更寬的禁帶寬度、更高的擊穿電場、更高的熱導率、更大的電子飽和速度以及更高的抗 輻射能力,非常適合於製作高溫、高頻、抗輻射及大功率器件。

從目前第三代半導體材 料及器件的研究來看,較為成熟的第三代半導體材料是SiC 和GaN,而ZnO、金剛石、氮 化鋁等第三代半導體材料的研究尚屬起步階段。

5.2.3供給端:重資本開支與先進技術打造龍頭企業護城河

根據IC insights 最新報告指出,2018 年全球晶圓代工廠商銷售額710 億美元,較2017 年的576 億美元增長5%,全球晶圓代工廠商銷售額連續五年年成長率高於5%。

2013 年全 球晶圓代工廠商銷售額為420 億美元,2013 年至2018 年年均複合增長率為14.42%。

其 中最近五年純晶圓代工廠商銷售額占整個晶圓製造市場的比例平均約為86%。

先進位程(≤28nm)量產對整個半導體產值至關重要,2018 年7nm 製程成熟量產,使得先進位程占比持續提升,2018 年先進位程營收占全球總營收46%。

由於先進產能擴張和技術研發需要投入巨額的資本開支,全球各晶圓代工廠商市場位勢 基本由其最先進的量產製程節點所決定。

目前,28nm 是傳統製程和先進位程的分界點。

世界集成電路產業28nm 工藝節點成熟,14/10nm 製程已進入批量生產,Intel、三星和台 積電均宣布已經實現了10nm 晶片量產,並且準備繼續投資建設7nm 和5nm 生產線,台積 電7nm 生產線2018 年上半年已經宣布量產。

而國內28nm 工藝在2015 年實現量產,且仍 以28nm 以上為主。

半導體產業每更新一代技術尤其是先進技術均需重新購置設備,導致投資規模不斷擴大。

在進入32nm 後,每個技術節點的投入成本大約是前一代技術的1.5~2 倍,且需要持續高 強度投資建設生產線才能形成有力的規模優勢。

此外,逆向擴張也是後發國家彎道超車 的必經之路,在近年手機、電腦等需求疲軟導致全球半導體景氣下行時,後發必要的持 續投資進行逆向擴張也能縮小與引領者的技術與規模差距。

先進位程持續演進,使得開發成本大幅增加,具備先進位程的廠商數量越來越少,2018 年具備28nm 以下先進位程技術的純晶圓代工廠僅剩台積電、格芯、聯華電子、中芯國際、 和艦晶片、華力微六家,14/16nm 以下廠商包括台積電、格芯、聯華電子三家。

三星於2017 年2 季度首秀了7nm EUV 製程,並於2018 年4 季度實現了量產;台積電也於2018 年2 季度試產了採用EUV 的第二代7nm 製程,並於2019 年1 季度量產。

全球範圍來看, 半導體先進位程的競賽體現了資本和技術的雙維度的角逐。

在下一代製程工藝上,聯電已經放棄了12nm 以下的先進工藝,英特爾還掙扎在10nm 節 點,目前公布5nm 及3nm 計劃的只有台積電和三星兩家,其中台積電5nm 節點投資250 億美元,而3nm 工藝也確定了投資計劃,其投資規模約為6000 億新台幣,目前台南園區 的3nm 工廠已經通過了環評初審,預計最快2022 年底投產。

在5nm 節點上,台積電將投 資250 億美元發展5nm 工藝,預計2019 年試產,2020年量產。

台積電在先進位程的研發 上持續高投資,主要針對5G、人工智慧、自動駕駛等晶片製造市場。

……

5.2.5配套支持端:全球半導體製造的設備和材料產業情況

半導體製造的配套支持主要分為設備與材料兩個方面,其中半導體製造設備包括矽片制 備設備、掩模製造設備、光刻設備、擴散及離子注入設備、薄膜生長設備、等離子體刻 蝕設備等。

據SEMI 報導,2018 年全球半導體設備銷售總額為621 億美元,同比增長9.7%,增幅較2017 年的37.3%大幅度下降27.6 個百分點。

全球半導體設備市場銷售規模在2016 年~2018 年平均複合增長率為6.06%,連續三年高漲的主要原因有:一是滿足市場需求的存儲器(DRAM、NANDFlash)擴大產能和新建產線,主要來自三星和海力士兩大公司;二是擴大晶 圓代工業務,爭取更多用戶,獲取更大效益,主要來自台積電、格芯和聯電等;三是提 高晶圓線、封裝線的技術和品質,主要來自英特爾、美光、台積電、三星、海力士等; 四是為追趕全球半導體產業先進水平的中國大陸企業的擴線建廠,主要是中芯國際、長 江存儲、合肥長鑫、上海華力、 華虹無錫、青島芯恩等;五是外資在中國大陸投資建線 擴產的廠商,主要是三星(西安)、格芯(成都)、福建晉華、合肥晶合、英特爾(大連)、 台積電(南京)淮德(淮安)等等,以上這些廠商很多都在2018 年至2020 年進入了設備安 裝調試階段。

據SEM 的預測報導,在2018 年全球半導體設備621 億美元的銷售額中,前工序晶圓加工 設備銷售額為502 億美元,同比增長10.2%;後工序封測設備銷售額為94 億美元,同比 增長15.1%,其中封裝設備銷售額40 億美元,同比增長1.9%,測試設備銷售額54 億美 元,同比增長15.6%;其他設備(Fab 廠設備、光罩設備等)銷售額近25 億美元,同比 增長0.9%。

2018 年全球前15 家半導體設備廠商營收合計為670.66 億美元,同比增長17.8%。

在全 球15 家半導體設備商的排名中,日本廠商占了7 家,包括東京電子(TEL)、愛德萬測試(Advanest)、大日本網屏(SCREEN)、日美電氣(KokusalEiectric)、日立高科(Hitachi High Technology)日本大福(Daifuku 和佳能Canon)。

美國廠商有4 家,包括應用材料(AMAT)、 泛林(LAM)、科天(KLA)和泰瑞達(Teradyne)。

歐洲有2 家,為愛斯曼爾(ASML)和先進半 導體國際(ASM International)。

韓國1 家,細美士(SEMES)。

中國1家,先進半導體太 平洋科技(ASM Pacifc Technologies)。

應用材料公司(AMAT)仍然占據全球半導體設備供 應商銷售額第一的排名,但其2018 年銷售業績僅增長6.5%,低於全球前15 家半導體設 備供應商銷售業績的總體增速。

半導體材料方面,據SEMI 數據顯示,2018 年半導體材料市場增長到519 億美元,與2017 年的470 億美元相比增長了10.6%,這主要歸功於已完成投資的半導體工廠開始全面運營, 以及由於半導體工藝製程數量增加而導致材料消耗的增多。

SEM 預計2019 年半導體材料 市場增速約為2%。

半導體材料主要用於前端(晶圓製造)和後端(封裝),其占比約為6:4。

前端材料包括矽晶 圓、光掩模、光刻膠、光刻膠輔助材料、濕化學品、電子氣體、濺射靶材料、化學機械 拋光(CMP)漿、研磨墊等。

而後端材料包括引線框架和基板、陶瓷封裝、封裝樹脂、鍵合 線和粘合劑等。

從過去三年的半導體材料增長率來看,前端材料遠高於後端材料,2016-2018 年的三年里,前端材料銷售額分別增長了3%、13%、14%,後端材料銷售額分 別增長了-4%、5%、3%。

SEMI 分析指出,前端材料的增長歸功於各種前端技術的積極使用, 如極紫外(EUV)曝光,原子層沉積(ALD)和等離子體化學氣相沉積(PECVD)等。

在晶圓製造 材料中,矽片及矽基材料占比最高,約占31%,其次依次為光掩模板14%,電子氣體14%, 光刻膠及其配套試劑12%,CMP拋光材料7%,靶材3%,以及其他材料占13%。

5.3 我國半導體製造行業情況

5.3.1我國半導體製造行業概況:規模持續快速增長,先進產能加 速建設

晶片製造業是我國集成電路產業的核心基礎。

2017 年,我國晶片製造業在存儲器需求旺 盛和國內8 英寸線、12 英寸線滿產的拉動下,繼續保持高速增長。

2017 年,我國大陸芯 片製造業銷售規模為1448.1 億元,比上年增長28.5%;占我國集成電路產業鏈的比重為26%,與上年持平; 2011-2017 年均複合增長率為22. 35%,特別是近三年均以超過25% 的高速增長,增速在集成電路產業鏈中保持第一,增速超過 IC 設計業和封裝測試業。

在國家和地方各級政府政策支持、以及國家「大基金」和各地投資基金的推動下,從2014 年下半年起在全國形成的晶圓生產線建設高潮,在2017 年仍是熱火朝天。

2017 年底,我 國大陸已經開工建設的12 英寸晶圓生產線達16 條,8 英寸晶圓生產線至少4 條;正在運 營的晶圓生產線有100 多條,其中12 英寸晶圓生產線共11 條,8 英寸晶圓生產線共21 條,6 英寸晶圓生產線共約50多條。

根據IC Insights 的統計數據,截至2016 年底我國大陸正在運營的8、12 英寸晶圓生產 線的合計產能約為185 萬片/月(摺合成8 英寸晶圓),占同期全球晶圓總產能的10.8%。

預計2020 年我國大陸8、12 英寸晶圓生產線的合計產能約為405 萬片/月(摺合成8 英 寸晶圓),占同期全球晶圓總產能的19%。

……

5.3.2 我國半導體製造的本土化情況:製程水平仍在努力追趕國際 同行,第三代半導體有望加速縮短國際差距

……

5.3.3 我國製造領域設備與材料的突破:領軍企業觸及先進位程, 整體國產化率仍待提升

……

6. 半導體封測篇:略

7. 投資標的建議:略

8. 投資建議總結:略

……

溫馨提示:如需原文檔,可在PC端登陸未來智庫www.vzkoo.com搜索下載本報告。

關注公眾號「未來智庫」,及時獲取最新內容。

(報告來源:長城證券;分析師:鄒蘭蘭、曲小溪、張如許、劉峰)


請為這篇文章評分?


相關文章 

值得收藏!半導體全產業鏈分析

1、周期性波動向上,市場規模超4000億美元1.1、半導體是電子產品的核心,信息產業的基石從電晶體誕生,再到集成電路計算機的基礎是1和0,有了1和0,就像數學有了10個數字,語言有了26個字母,...

中國買走全球過半晶片

「晶片已成為中國進口的最大宗商品。」曾有業內人士如是感慨。據半導體產業協會(SIA)發布的報告顯示,2017年1月,全球晶片銷量達到306億美元,同比增長13.9%。其中,面向中國市場的晶片銷售...

「中國芯」面臨的主要問題

在電腦端中央處理器上我國有龍芯的成功, 但在手機高端中央處理器領域仍存在差距。既沒有自主研發的指令集, 也沒有能夠適配主流手機作業系統的自研處理器架構。

一篇讀懂國產半導體設備現狀!

來源:國君電子 王聰/張天聞國君機械 黃琨/韋鈺核心要點: 半導體產業之風已至,政策環境利好國內半導體設備企業。在全球半導體產業向大陸轉移的過程中,半導體設備國產化具有重要戰略意義

集成電路領域幾個值得關注的動向

集微網消息,2015中國集成電路產業促進大會上,清華大學教授、核高基重大專項技術總師魏少軍博士從五個方面詳細闡述了全球集成電路產業的狀態、值得關注的動向以及未來半導體公司的發展趨勢等。1、集成電...

中芯國際:與「大象」共舞 差異化突圍

本報記者王春■「彎道超車」的創新動力幾乎每位走進中芯國際集成電路製造有限公司(以下簡稱「中芯國際」)辦公樓的訪客,都會被一面玻璃幕牆所吸引。這是一面「專利牆」,掛滿了公司自成立以來獲得的重要專利...

強芯之夢(一)

我們在微信公眾號第一篇文章中指出「投資國運時代來臨」,通俗來說,我們就要投資中國具有發展潛力的行業,之前我們略微地提了下電子行業,其實電子行業細分行業眾多,每一個細分的電子行業都將會有我們大陸本...

ASML逆勢看好集成電路?|半導體行業觀察

來源:內容由公眾號 半導體行業觀察(ID:icbank) 穆梓 原創,謝謝。最近兩個月,因為分析機構和很多企業的看衰,產業界對集成電路產業下半年乃至明年的走勢表達出悲觀的態度。但從昨晚路透社的報...

集成電路產業鏈大匯總

集成電路作為半導體產業的核心,市場份額達83%,由於其技術複雜性,產業結構高度專業化。隨著產業規模的迅速擴張,產業競爭加劇,分工模式進一步細化。目前市場產業鏈為IC設計、IC製造和IC封裝測試。