ASML入股蔡司半導體,為了EUV急了

文章推薦指數: 80 %
投票人數:10人

版權聲明:本文來自《中時電子報》《SEMI》,如您覺得不合適,請與我們聯繫,謝謝。

日前,全球半導體微影技術領導廠商艾司摩爾(ASML)和德國卡爾蔡司(ZEISS)旗下的蔡司半導體有限公司(Carl Zeiss SMT)共同宣布,由ASML以10億歐元現金收購Carl Zeiss SMT的24.9%股權,以強化雙方在半導體微影技術方面的合作,發展下一代EUV微影系統。

Carl Zeiss SMT是ASML最重要的長期策略合作夥伴,30多年來,為ASML的微影設備提供最關鍵且高效能的光學系統。

為了在2020年代初期就能夠讓晶片製造產業使用搭載全新光學系統的新一代EUV微影設備,ASML和Carl Zeiss SMT決定進一步強化合作關係。

ASML總裁暨CEO Peter Wennink表示,全球第一個由EUV微影設備製造出來的晶片可望於2018年問世。

透過這個協議,ASML和ZEISS將共同全力發展下一代EUV光學系統,讓客戶能夠在2020年後的10年間,充分回收他們在EUV上的投資。

下一代的EUV光學系統將提供更高的數值孔徑(NA,numerical aperture),以進一步縮小微影製程中的臨界尺寸(critical dimensions)。

ASML目前的EUV微影系統搭載的是0.33 NA的光學系統。

新一代的EUV微影系統則將搭載NA 0.5以上的光學系統,可以進一步支援3納米以下製程。

除了協議由ASML持有Carl Zeiss SMT少數股權外,ASML也將在未來六年內,投資約2.2億歐元來支援Carl Zeiss SMT在光學微影技術上的研發,以及約5.4億歐元的資本支出和其他相關供應鏈投資。

千言萬語,其實就是在EUV,究竟為什麼EUV會那麼讓半導體業者瘋狂呢?

回顧一下集成電路的發展歷程,從第一個電晶體問世算起,半導體技術的發展已有多半個世紀了,現在它仍保持著強勁的發展態勢,繼續遵循Moore定律即晶片集成度18個月翻一番,每三年器件尺寸縮小0.7倍的速度發展。

大尺寸、細線寬、高精度、高效率、低成本的IC生產,正在對半導體設備帶來前所未有的挑戰。

然而隨著晶片集成度的提高,對光刻技術提出了越來越高的要求。

在上世紀80年代,普遍認為光學光刻技術所能達到的極限解析度為0.5,但是隨著一些新技術的應用和發展,包括光源、成像透鏡、光致抗蝕劑、分步掃描技術以及光刻解析度增強技術(RET)的發展,使其光刻極限已推進到目前的0.1 以下。

儘管有人對光學光刻的潛力充滿懷疑,但其仍以頑強的生命力,不斷突破所謂的極限解析度,是目前所採用的主流光刻技術。

光刻技術是集成電路的關鍵技術之一,它在整個產品製造中是重要的經濟影響因子,光刻成本占據了整個製造成本的35%。

光刻也是決定了集成電路按照摩爾定律發展的一個重要原因,如果沒有光刻技術的進步,集成電路就不可能從微米進入深亞微米再進入納米時代,尤其是現在的5nm,甚至更尖端的時代。

知名半導體觀察家莫大康認為,從器件功能看,無論採用FinFET還是納米線結構,目的都是為了增大電晶體的驅動電流,但是在移動應用中如何能實現?改變溝道材料,採用載流子遷移率更大的材料是個好思路,但是如何與矽平面工藝集成是一大挑戰。

粗略的成本計算,如果要實現5納米工藝節點,而且要繼續推動電晶體增加和成本下降,必須使用EUV光刻,否則由於多次曝光技術需要的掩膜數量上升會增加許多成本。

因此整個產業界都在緊盯EUV,緊盯ASML的進展。

半導體產業緊盯的EUV光刻是什麼?

市場的殷切需求和技術節點的不斷進步仿佛是懸在光刻技術頭頂的「利劍」,雖然不至於「隨時冷汗涔涔」,但是在某種程度上督促著光刻要永遠走在前面。

商品化光刻機解析度從1.0μm到0.1μm的演變過程和光源波長從436nm(G-line),經歷356nm(I-line)和248nm(KrF),到如今193nm(ArF)的過程;NA從0.35經歷了0.45、0.55、0.6到0.85;K1因子的變化由0.8~0.4。

20世紀末開始,微處理器和DRAM特徵尺寸的縮減呈現了加速和偏離摩爾定律的趨勢,這更加速了光刻機的變革步伐。

然而,短波光學系統設計加工及相關材料的開發、NA的繼續增加和K1的不斷減小正面臨著一系列的挑戰。

例如:大NA光學系統將導致焦深的減少,造成工件台和環境的控制更加苛刻,要求物鏡波面差更小;較低的K1導致掩膜誤差因子的增大,造成複製圖形精度和保真度的下降。

使用DUV光刻技術的問題在於,隨著光波長的變小,光會被用來聚光的玻璃透鏡吸收。

結果是光到達不了矽片,也就無法在晶圓上生成任何圖案。

這也正是EUV光刻技術將取代它的原因。

在EUV光刻技術中,玻璃透鏡將被反射鏡取代以用於聚光。

EUV光刻技術早期有波長10~100nm和波長1~25nm的軟X光兩種,兩者的主要區別是成像方式,而非波長範圍。

前者以縮小投影方式為主,後者以接觸/接近式為主。

目前的EUV技術使用的是雷射等離子源產生的約13.5nm的紫外波長,這種光源工作在真空環境下以產生極紫外射線,然後又光學聚焦形成光束。

光束經由用於掃描圖形的反射掩膜版反射。

圖1展示了EUV的基本工作原理:雷射對準氙氣噴嘴。

當雷射擊中氙氣時,會使氙氣變熱並產生等離子體;一旦產生等離子體,電子便開始逃逸,從而發出波長為13.5nm的光;接著這種光進入聚光器,然後後者將光匯聚並照到掩膜上;通過在反射鏡的一些部分施加而其它部分不施加吸收體,在反射鏡上形成晶片一個平面的圖案的光學表示,這樣就產生了掩膜;掩膜上的圖案被反射到四到六個曲面反射鏡上,從而將圖像微縮,並將圖像聚投到矽晶圓上;每個反射鏡使光線稍微彎曲以形成晶圓上的圖像,這就像照相機中的透鏡將光彎曲以在膠片上形成圖像一樣。

整個工藝必須在真空中進行,因為這些光波長太短,甚至空氣都會將它們吸收。

此外,EUV使用塗有多層鉬和矽的凹面和凸面鏡——這種塗層可以反射將近70%的波長為13.5nm的極紫外線光,其它30%被反射鏡吸收。

如果沒有塗層,光在到達晶圓之前幾乎就會被完全吸收。

鏡面必須近乎完美,即使塗層中的小缺陷也會破壞光學形狀並扭曲電路圖案,從而導致晶片功能出現問題。

光源能量問題首當其衝

「射人先射馬,擒賊先擒王」,既然EUV主打的是更短的波長這張牌,那麼其核心理所當然是光源的相關問題。

開發EUV光源面臨的最大挑戰在於,如何在提高EUV光源瓦數的同時,降低等離子氣氛中微粒、高速粒子和其它污染物,否則光源將會快速惡化。

EUV光源可以分為光產生、光收集、光譜純化三個部分。

通常來說,EUV光源的產生有兩種方法:雷射等離子體光源(LPP)和放電等離子體光源(DPP)。

LPP EUV系統主要包括雷射器、匯聚透鏡、負載、光收集器、掩膜、投影光學系統和晶片。

其原理是利用高功率雷射加熱負載(Xe或Sn)形成等離子體,等離子體輻射出紫外線,利用多層膜反射鏡多次反射凈化能譜,獲得13.5nm的EUV光。

LPP EUV光源的優點是光源尺寸小,產生碎片或粒子的種類少,光收集效率高以及較容易放大EUV輸出功率。

當然它也有缺點,主要是系統設計複雜,價格昂貴。

DPP EUV光源利用放電使負載(Xe或Sn)形成等離子體,輻射出紫外線,利用多層膜反射鏡多次反射凈化能譜,獲得13.5nm的EUV光。

DPP EUV光源的優點是產生EUV的能量轉換效率高,造價低;缺點是電極熱負載高,產生碎片多,機制複雜,光學器件易於受損,光收集角小。

據Cymer應用工程部處長林思閩博士介紹,Cymer自1997年開始進行EUV光源的相關研發工作。

研發範圍包括了業界產生EUV光源的兩種方式:放電等離子體(DPP)和雷射等離子體(LPP)。

Cymer的EUV光源經研發評估後採用較容易放大EUV輸出功率的LPP技術。

他指出,相對於DPP技術,LPP採用多級放大的CO2雷射和一個液態錫制滴靶(liquid Sn droplet targets)產生光源,轉換效率可到到3%,從而使光源更穩定,能量也更容易提高。

但錫碎片對昂貴的光源採集器易造成破壞,這也是Cymer重點解決的難題之一。

林博士表示,在過去的一年中,Cymer的光源技術已經取得了相當大的進展。

目前Cymer擁有針對193nm浸入式光刻機所使用60W的雷射XLR500i和可在60W/90W間轉換的XLR600ix。

60W的雷射XLR500i目前是Cymer針對單次曝光光刻技術的主打產品,而可在60W/90W間轉換的XLR600ix則可以同時滿足單次曝光光刻技術和雙重圖形技術所需要的瓦數和速度。

比起前代產品,波長和帶寬穩定性提升了1.5倍,光束穩定性提高了2倍。

在EUV光源方面, 由Cymer研發製造的全球第一套全集成的雷射等離子(LPP)EUV光源已成功運往ASML,將安裝於ASML的相關EUV光刻機上。

目前EUV光源的能量還未能達到量產規模時的需求,比較現實的光源能量為中間能量級20W-40W,已經獲得了客戶的認可。

Cymer在今年年底或明年初能夠為其用戶發送100W的EUV光源。

「EUV光源目前仍有不少困難急需解決,」林思閩博士坦陳。

「首當其衝的就是光源的高能量問題。

目前全球第一套全集成的雷射等離子光源的最高能量已經可以達到75W,在今年的隨後幾個月內有望實現大於100W的目標。

其次是MLM收集器的壽命和超平坦MLM收集器的製造問題。

最後是如何實現錫制滴靶的高穩定性。

」此外,等離子體的產生、提高輸出功率、延長光源的壽命、測量和在線監測技術研究等也將會是研發的重點。

掩膜技術成為「短板」?

「短板」的概念來自經濟學領域著名的「木桶理論」,即一件事情的成功不只是其最突出的部分起作用,而是取決於它的整體狀況,取決於其它的薄弱環節。

同理,光刻技術的發展並非光刻機一枝獨秀即可,其它環節的互相配合與優化,如光刻膠和掩膜版等,才能使EUV儘早投入量產。

儘管EUV使用的曝光波長比ArF光刻縮小了10倍以上,但是EUV波段的光極易被各種光學材料吸收也是不爭的事實,全新的掩膜版技術開發如箭在弦上。

由於採用透射曝光時掩膜版會吸收EUV光線,其光強將被大幅削弱。

因此,相對於目前的投影式光學系統而言,EUV掩膜版將採用反射技術,而非透射技術。

要使EUV順利進入量產,無缺陷的掩膜是必不可少的。

如何解決掩膜版表面多層抗反射膜的無缺陷問題成為關鍵(圖3)。

EUV掩膜版的製作一般是採用多層堆疊的Mo/Si薄膜,每一Mo層與Si層都必須足夠平滑,誤差容許範圍為一個原子大小。

如果掩膜上存在大顆粒時,通常需要採用掩膜修正技術進行處理。

另外,掩膜版還涉及到儲存、運輸等難題。

以往的研究表明,EUV掩膜缺陷密度應為18nm節點0.003defects/cm2,最新的數據認為,最終量產時的目標達到0.01defects/cm2即可。

但如今的EUV掩膜缺陷仍高達1defect/cm2,任務非常艱巨。

要使檢測機台的水平滿足晶片製造的要求,EUV光源的亮度而非能量,仍需大幅改善。

這是因為EUV光刻機的NA非常小,測量機台只能覆蓋光源較小的一部分,高能量光源對於測量機台來說太大太昂貴。

在這一點上,LPP光源更小更亮,較DPP更有優勢。

EUV的最新現狀如何?

在今年七月,荷蘭半導體設備大廠ASML宣布,該公司在最近一季接到了4張極紫外光(EUV)微影系統訂單,預期明年將出售12台EUV系統;此最新訊息重燃了產業界的期望,延遲已久的EUV掃描機或許能在2020年準備好量產,屆時應該是5納米製程。

EUV進展一覽表

ASML發言人表示:「我們預期EUV微影將在個位數納米製程節點,被應用於記憶體中的兩個或更多層;而在最先進的邏輯製程節點(7或5納米) ,則被應用於6~9層。

」該公司表示,到2018年,該公司生產EUV微影系統的產能可達到24台,每台售價近1億美元;到目前為止,晶片製造商已經安裝了8台ASML的最新EUV系統進行試產。

市場研究機構Semiconductor Advisors的分析師Robert Maire認為:「EUV微影真正開始量產應該是會在2020年;」他指出,台積電(TSMC)最近也宣布了將在5納米節點採用EUV微影的計畫。

而英特爾(Intel)則是在近日出人意料之外地表示,決定延遲原本預計在今年秋天開始的10納米節點量產時程;Maire指出,因為英特爾可能會在7納米採用EUV微影,與台積電的5納米節點量產時程相當。

今日的16/14納米節點設計,通常是以採用現有深紫外光(DUV)微影掃描機的雙重圖形(double-patterning)來達到所需的最細線寬以及間距;一旦EUV技術準備就緒,就能免除在10納米以下節點採用昂貴、較具挑戰性的三重或四重圖形。

自雙重圖形方案在20納米節點興起以來,晶片製造商對於製程節點的名稱就毫不在意;呼應Globalfoundries技術長在今年稍早前發表的意見,Maire表示:「10納米節點會是一個生命周期較短的「輕(Lite)」節點,而產業界對於接下來的7納米節點會更努力推動,使其成為較強勢、生命周期較長的節點。

ASML在新一季財報發布會上表示,該公司已經出貨數台YieldStar 350E度量系統給客戶,以支援10納米邏輯製程的品質以及量產;此外該公司也出貨了23台NXT:1980i ArF DUV系統。

這一段時間以來,ASML都表示DUV與EUV系統會在先進位程節點被串聯使用。

ASML表示,EUV將對降低多重圖形的需求並改善良率(來源:ASML)

隨著ASML的EUV系統持續進展,以及整合了最近收購自台灣廠商漢微科(Hermes)的檢測系統;Maire預期該公司的競爭對手──如準備合併的KLA-Tencor與Lam Research──將遭遇麻煩:「ASML目前極力推廣自有的疊加(overlay)與度量解決方案,並以將其他競爭對手如KLA擠出市場的目標來緊密整合技術;隨著EUV走向現實,並整合了漢微科的技術…KLA會需要考量整合其技術以找到取得新的成長動力。

在此同時,最新的EUV系統還差一步才能實現量產,也就是可達到一小時125片晶圓產能的250W光源;目前已安裝的系統是採用125W光源,產能只有每小時85片晶圓。

不過ASML策略行銷總監Michael Lercel表示,該公司已經在實驗室證實了一套採用210W光源的方案。

「我們正開始接近與三重圖形的成本權衡;」Lercel表示,ASML也正在努力確保複雜EUV系統達到九成以上的正常運作時間(uptime),到目前為止該系統在4周期間的正常運作時間為超過八成,但是:「研發應用與量產應用的要求是有區別的。

EUV系統的可靠度是一項艱巨任務,因為其光源必須在真空狀態每秒射出5萬次的熔解錫(tin)液滴;這種全新的光源不但體積比過去的準分子雷射(excimer lasers )更大、系統也更複雜,光源系統本身像一台冰箱那麼大,在無塵室周邊環境運作。

EUV遲遲不到,還有其他替代嗎?

推進EUV微影顯像批量生產的主要障礙在於無缺陷光罩坯件(mask blank)的可用性。

據Veeco資深市場營銷總監Tim Pratt所述,此時到位的工具還沒有能力生產符合如此良率要求的光罩坯件以協助EUV的生產力向上推升。

「基於目前的良率,光罩坯件的製造能力還不能生產足夠的光罩坯件以支應ASML掃描儀的出貨量」,Pratt說。

「ASML即將運送一些升級光源給產業界,當那些光源開始發生作用時,EUV掃描儀的有效總生產力將會大幅提高,而可用的光罩坯件將不足以支援這樣的產量。

在去年,EUV對零空白缺陷的要求是大於62納米。

SEMATECH在2012年的報告顯示有八個缺陷比50納米大。

「我們已經有很大的進步,但難如登天的零缺陷要求仍尚未達到,」Pratt說。

Veeco公司是EUV多層沉積設備的獨家供應商,有計劃升級現有的Odyssey設備並在2017/2018推出新的平台。

圖三顯示一EUV光罩,它比傳統的光罩更加複雜。

圖三:EUV光罩比傳統的光罩還複雜資料來源:Veeco公司

有什麼因素會讓EUV的上升趨勢有所改變呢?根據Pratt的說法,它將取決於無缺陷光罩坯件的供給。

多年來儘管投入大量的研發資本,EUV仍然尚未進入量產。

兩個主要的問題在於EUV的光源和光罩上的缺陷。

當這些問題有所進展時,人們就會開始以嚴肅的眼光去思考EUV即將量產的可能問題。

以電子束替代

只有幾個替代EUV和複雜(和昂貴)多重圖案化的方法:多重電子束(Multi-e-beam,MEB)、納米壓印和定向自組裝(directed self-assembly)技術。

單光束的電子束微影顯像系統已經被使用多年,用於光罩寫入和元件樣品製備上。

其設備則有許多公司可提供,如Advantest、IMS、JEOL和Vistec。

單光束寫入在生產力和成本上始終無法與大規模並行光學系統競爭。

台積電的Burn Lin表示,電子束微影顯像的時代已經來臨。

為什麼呢?數位電子裝置已經可以在一個可管理的空間以及可負擔的狀況下提供每秒千兆位元的傳輸速率,從而實現非常高的晶片產量。

微機電系統和封裝技術已經先進到足以支援數個數量級增加的波束和高速電子束寫入。

而電子束技術一般可達的解析度比光學系統高。

[1]去年TSMC和KLA-Tencor提出反射式電子束微影顯像(reflective e-beam lithography,REBL)系統,可能有機會促使多重直寫電子束的大批量生產。

多波束系統也正由多波束公司(著名的David Lam是CEO)、IMS和MAPPER所發展。

MAPPER創建於2000年,由Pieter Kruit教授和他兩個剛畢業的學生Marco Wieland 和Bert Jan Kampherbeek共同建立。

直寫電子束令人感興趣的地方是它可能可與常規浸沒式微影顯像系統搭配。

Intel的資深院士兼微影顯像技術處長Yan Borodovsky將其稱為「互補式微影顯像技術」。

他說,EBDW可以用來代替EUV以突破193i與間距切割(pitch division)的光柵連續性。

這個作法除了保有在關鍵層次上繼續使用成熟的193i的優點以外,也具有更低的光罩成本(光罩不需要光柵切割和通孔via),並且避免昂貴的光罩製造的設備成本。

有一個專注於電子束技術開發、光罩寫入和直寫系統的組織是E-beam Initiative(www.ebeam.org)。

納米壓印

步進和快閃式壓印微影顯像技術(Step and Flash Imprint Lithography,SFIL)是紫外線納米壓印微影顯像技術(UV-NIL)的一種,其解析度和顯像能力是受到公認的。

它是少數能夠在下一世代滿足未來半導體元件解析度的微影顯像技術之一。

在Austin的Molecular Imprints,它現在是Canon的全資子公司,已經將該技術成功商業化。

Molecular Imprints在過去十年投資1.65億美元在開發此平台、材料、模板和應用程式上。

Canon在2004年就開始進行納米壓印技術的研究,目的是開發20納米以下的高解析度製程。

在2009年之後則開始與Molecular Imprints以及一個重要的半導體製造商進行聯合開發。

Canon表示NIL提供的優點包括高解析度、卓越的對準精確度和低成本。

然而其他的報告指出仍然有許多整合上的問題,包括缺陷、生產力、和疊加對準等等,必須在SFIL可以大量生產尖端半導體以前獲得解決。

DSA非常有前途

IMEC的Van den hove將定向自組裝(DSA)描述成一個「非常有前途」的技術。

Steegen也說,大部分的工作集中在缺陷率的降低。

DSA的光阻包含塊狀共聚物(copolymer),會沉積在引導結構的頂部。

此製程的自定向特性提供非常規則的圖案以及非常高的解析度。

DSA的訣竅是它需要用雙重曝光技巧除去在元件邊緣隨機出現的圖形,而這種「光罩切除」所需的解析度也非常的高。

「我們相信,這並不是一個EUV的替代品或是任何高解析度的微影顯像技術。

我們非常相信它將與EUV一起搭配使用」。

Van den hove說,「它肯定會對EUV構成極大的壓力。

Steegen將DSA描述成一種有相當吸引力的互補式微影顯像技術。

該製程先在晶片上製作出「較寬鬆」的引導圖案。

然後根據塊狀共聚物中的聚合物長度,在所述的引導結構之間複製多個行列和空格。

「這些材料的缺陷將決定是否能夠降低缺陷數量。

我們的年終目標為60 defects/cm2,要達到這個目標也許還要多一年的時間」,她說。

在IMEC的工作成果顯示,這些頂部有硬光罩的聚合物具有足夠的強度能將圖案蝕刻入矽晶片中。

「這是相當新的數據,顯示這個技術是非常有前途的」,Steegen說。

IMEC正在思考在邏輯N7的製程中,何處適合使用DSA,並且以魚鰭結構和間隙壁為主要目標。

Steegen說,第一層金屬將會是挑戰,因為它的圖形不具規則性。

「這使得它不容易被DSA取代,但是我們正在研究該如何用此技術來做到這一點」,她說。

下一代的半導體開發無疑是成本巨大的,對技術的需求也會日益提升,很多製造和設計廠商都會被淘汰,而這無疑是中國彎道超車的一個好機會。

同行們,你們準備好了麼?

【關於轉載】:轉載僅限全文轉載並完整保留文章標題及內容,不得刪改、添加內容繞開原創保護,且文章開頭必須註明:轉自「半導體行業觀察icbank」微信公眾號。

謝謝合作!

【關於投稿】:歡迎半導體精英投稿,一經錄用將署名刊登,紅包重謝!來稿郵件請在標題標明「投稿」,並在稿件中註明姓名、電話、單位和職務。

歡迎添加我的個人微信號MooreRen001或發郵件到 [email protected]

點擊閱讀原文加入摩爾精英


請為這篇文章評分?


相關文章 

先進半導體工藝帶來晶片成本的變化

版權聲明:本文由半導體觀察翻譯自semiwiki,如需轉載,請與我們聯繫,謝謝。先進工藝製程成本的變化是一個有些爭議的問題。成本問題是一個複雜的問題,有許多因素會影響半導體製程成本。本文將討論關...

EUV微影前進7nm製程,5nm仍存在挑戰

EUV微影技術將在未來幾年內導入10奈米(nm)和7nm製程節點。 不過,根據日前在美國加州舉辦的ISS 2018上所發布的分析顯示,實現5nm晶片所需的光阻劑仍存在挑戰。極紫外光(extrem...

半導體工藝節點是如何演進的|智慧產品圈

01 摩爾定律下的工藝節點的形成 1958年,美國德州儀器公司的工程師傑克•基爾比製成了世界上第一片集成電路,1962年,德州儀器公司建成世界上第一條商業化集成電路生產線。此後,在市場需求的驅動...

相約Galaxy S9 三星7nm晶片明年初量產

【IT168 資訊】在7nm製程工藝的研發競爭中,台積電和三星都在持續投入,以求在7nm的關鍵節點上占得先機。其中台積電已經計劃於今年風險試產7nm晶片,明年實現大規模量產,而三星則制定了更為激...