一篇讀懂國產半導體設備現狀!

文章推薦指數: 80 %
投票人數:10人

來源:國君電子 王聰/張天聞

國君機械 黃琨/韋鈺

核心要點:

半導體產業之風已至,政策環境利好國內半導體設備企業。

在全球半導體產業向大陸轉移的過程中,半導體設備國產化具有重要戰略意義。

在國家政策與資金的支持下,國內半導體行業在技術積累和人才儲備方面都在快速增長著。

我們測算未來三年(2018至2020年)國內半導體設備需求分別至少為1,605億元、1712億元和1,056億元,其中國產設備將會有至少258億元的市場需求,隨著產業轉移的不斷進行和新建產線的持續披露,預計會實現更快速的增長。

國內產能擴張帶來被動增長,國產化率提升促進主動突破。

半導體行業正處於周期性向成長性轉變的過程中,而作為上游的半導體設備行業也開始了它的持續增長之路,大陸在設備行業景氣度持續提升和國內需求爆發的雙重作用下所孕育的絕佳土壤,為設備企業帶來了生長機會。

國內半導體設備企業在2018至2020年的成長主要來自於國內產能擴張所帶來設備需求的被動拉動,而隨著國家政策與資金的持續支持、高端製程的不斷突破,設備企業有望在2020年之後在國產化浪潮的推進下持續進步。

持續的、高強度的研發投入和核心技術的自主掌握始終是企業的安身立命之本。

通過比較我們發現,在產品結構上,綜合型設備企業產品線豐富,憑藉產品廣度形成市場競爭力;專業型設備企業深耕某一個或幾個細分領域,在該領域形成壟斷優勢。

在併購風格上,綜合型設備企業從事的併購以多樣化併購為主;專業型設備企業的併購標的多與公司所專注領域有關且在某一細分技術上具有比較優勢。

但是這些企業都有一個高度相同的地方——注重研發投入和自主創新,持續的、高強度的研發投入和核心技術的自主掌握始終是企業的安身立命之本。

1. 國內設備需求巨大,供需結構亟待改善

1.1. 半導體設備是半導體產業鏈中重要一環

集成電路是半導體產業的核心,包括邏輯電路、存儲器、微處理器和模擬電路等四類,占據半導體行業規模八成以上,其餘為光電子、分立器件和傳感器。

晶片作為集成電路的載體,是集成電路經過設計、製造、封裝、測試後所呈現的獨立的實體。

從產業鏈的角度看,以集成電路為代表的半導體產品被廣泛用於消費電子、通訊、工業自動化等下游電子信息產業之中,同時也受到下游終端應用結構發展的推動,下游應用是半導體產業發展的核心驅動力。

根據美國半導體產業協會(SIA)的數據,全球半導體銷售額自2016年8月以來已經連續20個月實現了同比增長。

而根據Gartner的數據,作為半導體下游驅動的智慧型手機,年出貨量增速卻從2016年的5.06%下滑至2017年的2.77%,中國智慧型手機出貨量更是在2017年出現負增速,同比下降11.55%。

半導體下游需求結構出現轉變,未來將有可能逐漸從以智慧型手機與PC為驅動逐漸向以人工智慧、可穿戴設備等新興領域為驅動轉化。

半導體產業在發展過程中,逐漸形成了兩種商業模式:一種是集成器件製造模式(IDM模式),以英特爾為例,是將晶片從設計到投向市場的一系列步驟全部覆蓋的模式;另一種是垂直分工模式,將生產環節進行垂直拆分,每一個環節由專門的廠家負責,例如做半導體設計的英偉達、高通等Fabless(無晶圓廠)企業,做Foundry(晶圓代工)的台積電等。

後者出現的標誌是1987年台積電的成立,這也使得晶圓代工成為了台灣標誌性產業。

這種模式產生的原因,源於半導體行業資本密集型和技術密集型的特點。

由於半導體製造具有規模經濟特性,擴大產能便於企業降低成本,所需投資額十分巨大,一條產線的投資金額可達10億美元量級,這就增加了產業的進入壁壘。

而台積電的建立,降低了IC行業的准入門檻,使得更多的中小型IC設計企業進入市場,加快了半導體行業的繁榮。

1.2. 集成電路製造工藝複雜,所需設備眾多

集成電路的製作,是將設計好的電路圖通過眾多複雜的工藝構建在事先準備好的矽片上,最終進行封測的過程。

而這整個一套過程,又需要半導體材料、設備和潔凈工程等上游產業鏈作為支撐。

IC設計是通過邏輯電路設計實現特定功能的過程:先由品牌商等客戶的工程師與IC設計工程師接觸,提出設計要求,然後合作完成邏輯電路圖設計,並將設計圖轉化成電路圖,經過軟體測試驗證是否符合客戶規格要求,最後將電路圖以光罩的形式製作出來,用於下一步IC製造使用。

整個過程主要在計算機中完成,故所需設備較少。

IC製造分為晶圓製造及加工。

晶圓製造
是指利用二氧化矽作為原材料製作單晶矽矽片的過程。

具體來講,是先利用西門子工藝,將天然矽加工成用來製作晶片的高純矽,後者又被稱為半導體級矽或電子級矽,再利用CZ法等技術將半導體級矽的多晶矽塊轉換成一塊大的單晶矽矽錠。

對矽錠進行一系列機械加工、化學處理、表面拋光和質量測量後,可以得到用於下一步晶圓加工的矽片。

自20世紀50年代起,矽片直徑已經從25mm發展到了300mm,這意味著單一矽片上可以生產出更多的矽片。

根據《半導體製造技術》,通過這種規模效應,即設備利用率的提高,將矽片直徑從200nm轉換到300mm可將每塊晶片的生產成本降低30%,追求更低的單位成本是半導體產業發展的必然趨勢,而新建產線是擴大此規模效應的最佳方法,這對於具有大尺寸單晶爐生產能力的企業是絕佳機遇。

晶圓製造環節之後是晶圓加工,晶圓加工指在晶圓上製作邏輯電路的過程,主要包括在矽片上進行鍍膜、光刻、刻蝕和摻雜等四大類基本操作。

需要PECVD、LPCVD、光刻機、刻蝕機、離子注入機、擴散爐等設備。

在整個加工過程中,所有矽上方的材料都是互連晶片上各個器件所需的分層結構的組成部分,為了增加多層金屬和絕緣層,需要在矽片上進行不同工藝步驟的循環。

IC封測是IC生產的後段環節,對晶圓進行減薄、切割、貼片、引線鍵合、封裝、測試等過程,需要減薄機、引線鍵合機、切割機、清洗機等設備。

矽片測試中的高廢品率會使得客戶產品在使用過程中失效,導致其選購其他晶片,削弱晶片製作者在短周期內占領市場的能力,為避免這種情況,正確的測試程序是必須的。

而封裝行業已從早期的高勞動密集型行業,發展成為現在的高度自動化與產品性能提升過程中的重要推力。

1.3. 設備市場增速劇增,大陸晉升半導體設備第三大市場

根據日本半導體製造裝置協會統計的數據,2017年全球半導體設備總銷售額為566億美元,同比增長37.3%。

分區域來看,中國大陸設備銷售額82.3億美元,占14.5%的比例
,韓國為全球最大市場,銷售額達到179.5億美元,占比高達32%,其次為台灣20%,日本為11%,北美為10%。

大陸銷售占比從2005年的4%上升為2017年的14.5%,並且超過了北美和日本成為第三大市場。

自「十二五」以來中國設備市場銷售額自2012年2017年保持著26.9%的複合增速,同期全球銷售額增速僅為8.9%,大陸銷售額占比也從2012年的6.8%上升到2017年的14.5%,國內下游集成電路應用市場的持續擴張也有望帶動半導體設備銷售持續增長。

從整個產業鏈看,半導體設備與材料分別以數百億的行業規模支撐了下游半導體產業數千億的需求市場,根據美國半導體產業協會(SIA)的數據,2017年全球半導體產業銷售額高達4,058億美元,2017年半導體設備與材料銷售額分別為556億和469億美元,也間接說明製造環節為企業帶來的高附加值。

1.4. 國際集中國內分散,國產半導體設備急需突破

從國際上看,雖然中國設備市場占比逐年增加,但目前主要生產企業主要集中於歐美、日本、韓國和台灣等國家和地區。

其中具有代表性的包括美國應用材料(AMAT)、荷蘭阿斯麥(ASML)、美國泛林半導體(Lam Research)、日本東京電子(Tokyo Electron)和美國科磊(KLA-Tencor)等起步較早的國際知名企業,它們憑藉資金技術等優勢占據了全球設備市場的絕大多數份額。

細分領域中,龍頭集中的現象依然明顯。

根據2017年SEMI公布的數據,在集成電路製程中,前段晶圓製造設備投入占比約占設備投資的80%,而後段封裝、測試設備投入占比分別為9%和6%。

前段製程中由於需要多次進行光刻、沉積、刻蝕等工藝處理,對設備的精度和穩定性要求最高。

根據Gartner的數據,2016年半導體設備主要細分領域前三名廠商占有率都達到了70%以上,光刻機龍頭ASML和PVD龍頭應用材料更是分別占據了細分市場75.3%和84.9%的市場份額。

這就意味著集成電路生產設備,如光刻機、刻蝕設備、PVD、CVD等附加值最大的部分都被海外公司壟斷,國內企業急需打破僵局。

相比之下,大陸半導體設備企業起步較晚,市場集中度也很低。

2016年大陸前十企業總收入約為47.57億元,占國內設備市場份額僅為11.71%,占全球市場不足2%,使得我國高端晶圓製造設備基本依賴進口,國產化率較低,國產半導體設備急需突破。

1.5. 貿易逆差現象嚴重,國內供需結構急需改善

我國是全球半導體最大的市場,並且正以高於全球的平均速度發展。

根據世界半導體貿易統計組織(WSTS)的統計,2017年我國半導體銷售額達到了1,315億美元,全球占比從2014年的27%增長到2017年的32%,同期美國、日本和歐洲占比分別為21%、9%和9%。

儘管如此,我國進口依賴的局面依然存在,但根據海關總署提供的數據,2017年我國集成電路進口金額同比增長12.7%,達到2,588億美元,是同年原油進口金額1607.5億美元的1.6倍,貿易逆差達到了1,925億美元。

足見我國集成電路供需發展的失衡性,在國內需求快速增加的情況下,改善本土半導體產業鏈是大勢所趨。

除了下游之外,我國市場環境屬於供需層層不匹配的狀態,雖然大陸設備銷售額占全球比例不斷提升,2016年達到64.6億美元,但其中國產設備銷售額僅僅達到了25億元人民幣,占比不到8%,一方面是不斷提高的市場需求占比,一方面是難以提升的國產化設備占比,設備銷售的乏力與國內設備的大量需求並不匹配。

2. 乘行業發展巨浪,國產半導體設備強勢起航

2.1. 半導體周期已至,大陸半導體產業受益最大

2.1.1. 半導體行業重回景氣周期,創下歷史新高

理論上看,全球半導體行業具有技術呈周期性發展、市場呈周期性波動的特點。

20世紀初,隨著網際網路泡沫的破裂,2001年全球半導體市場下跌32%;隨後隨著新一輪PC換機潮的到來,半導體市場與2002-2004年進入了高速增長階段,2005年開始回落,之後受到金融危機影響出現了負增長;2010年隨著全球經濟好轉,全球半導體產值增長了34.4%,2011-2012年受歐債危機、美國量化寬鬆貨幣政策、日本地震及終端電子產品需求下滑影響,半導體銷售增速分別降為0.4%和-0.7%;2013年始,PC、手機、液晶電視等消費類電子產品需求不斷增加,全球半導體產業恢復增長,增速達4.8%,2015-2016年,銷售逐漸疲軟;2017年隨著新一代智慧型手機、物聯網、人工智慧、5G等下游的興起,全球半導體重回景氣周期。

從數據上看,根據WSTS的數據,2017年半導體銷售額高達4,122億美元,同比增長21.6%,創下歷史新高。

存儲器同比增長61.5%,遠高於其他半導體成分9.9%的增速,印證了「存儲器是集成電路產業的溫度計和風向標」之說

根據WSTS、SIA、SEMI等多家產業協會和諮詢調研機構做出的發展評估,2018年半導體產業收入增速將達到7.5%左右,收入規模約為4,411-4,440億美元左右。

2.1.2. 需求回暖帶動上游設備持續向好

理論上看,半導體設備與半導體產業進步呈現同周期規律,半導體產業離不開半導體設備的不斷創新,隨著製程的進一步提升,對於設備的要求也越來越高,這對於設備企業來說是難得的機遇。

同時,技術的進步也帶動設備單價與研究壁壘的提升,龍頭企業擁有一定護城河,在發展過程中將做到強者恆強。

即便如此,隨著摩爾定律逐漸逼近極限,海外企業在高端製程的研發進度將會放緩,這就為國內半導體設備廠商提供了彎道超車的時間條件。

從數據上看,全球半導體設備銷售、資本開支均保持增長。

2017年半導體銷售額在超過市場預期的同時,也使半導體設備的景氣度大幅上升。

全球半導體設備在2017年達到了37.3%的快速增長,北美半導體設備製造商全年出貨額同比增長38.9%,達到256億美元,比較歷史數據我們同樣發現,半導體設備與半導體產業呈現同步周期規律,上下游具有聯動效應,下游需求的爆發會帶動整個產業鏈的發展。

資本開支方面,全球主要半導體廠商2017年資本開支均保持上升態勢,有望達到723億美元,增長6.4%,根據IC Insights預測,2018年、2019年資本開支仍將持續上升,預計增長5.3%和6.4%。

在此環境下,半導體設備有望受益於下游需求上升而持續其上行周期

2.1.3. 第三次產業轉移如火如荼,大陸成為核心地區

縱觀歷史,全球半導體經歷過兩次產業轉移,第一次發生在上世紀80年代,是美國向日本以家電行業為主導的裝配產業的轉移,使得日本穩固了其家電行業的地位。

第二次則發生在上世紀90年代,得益於日本經濟泡沫破滅,使其巨大資本開支難以維繫,韓國和台灣抓住機會,在強大資金的支持下,確立了在PC和手機端的全球晶片霸主的地位,台灣更是看中了晶圓代工的市場,著力發展代工產業,由此完成了第二次產業轉移——由日本向韓國、台灣的轉移。

從過往產業轉移過程來看,半導體全球級霸主的產生往往伴隨著新應用新市場的快速崛起和國家財政的大力支持。

目前我國半導體產業正處於新一代智慧型手機、物聯網、人工智慧、5G等行業崛起的過程中,應用市場需求龐大;同時政府以多項文件、專項計劃大力支持,又通過大基金進行資本投入,使得我國兼具著產業轉移的兩大歷史條件,有望成為第三次產業轉移的最大受益者。

根據SEMI research的數據,受到全球集成電路需求的影響,直到2020年,全球預計將會有62座半導體晶圓廠投產,其中有26座位於中國,其中包括英特爾、三星、台積電、格羅方德等全球大型半導體公司投資的晶圓廠,我國已經成為半導體第三次產業轉移的核心地區

2.2. 政策與大基金共抗海外技術壟斷

2.2.1. 國外企業在半導體關鍵設備領域具有絕對優勢

如前文所述,中國作為全球半導體行業最大的市場,本土產業鏈卻存在嚴重缺陷,與以美日為主導的國際企業相比仍相形見絀。

國內設備廠商與國際龍頭無論是在銷售規模還是在技術積累上都存在較大差距。

例如在2016年,以CVD、刻蝕機等設備為主要業務的美國應用材料公司設備收入高達76億美元,而同期我國半導體設備銷售額僅為425億元,約合64億美元,不及全球龍頭一家公司的收入規模。

具體來看,目前世界集成電路設備製程正處於7nm的研發與14nm的批量生產階段,而中國還處在14nm的研發與65-28nm的生產階段,落後國際先進水平一到兩個世代,隨著下游需求的不斷增加和應用場景的日益豐富,高端產能擴張的需求將會快速上升,我國迫切需要走進先進位程。

2.2.2. 國內破局,政策與大基金髮力國產設備崛起

由於半導體行業具有資金密集、技術密集的特點,也就造就了其政策驅動的特性。

正如前文所提到的台灣半導體產業的發展之路一樣,我國近年來出台了一系列產業政策與國家發展基金,以促進半導體產業自主發展

一方面說明改革迫在眉睫,另一方面彰顯國家對集成電路產業發展的決心。

早在2006年,國務院發布的《國家中長期科學和技術發展規劃綱要(2006-2020年)》就確定了「極大規模集成電路製造技術及成套工藝」重大專項的地位,後簡稱「02專項」。

自專項於2008年正式實施以來,我國在半導體設備領域實現了刻蝕機等關鍵設備的從無到有,在55/40/28nm三代製程實現量產,20-14nm製程取得突破,工藝水平快速提升5代,扭轉了工藝全套引進的被動局面。

此外,國務院於2014年6月發布的《國家集成電路產業發展推進綱要》提出要突破集成電路關鍵設備,研發光刻機、刻蝕機等關鍵設備,增強產業配套能力。

2015年5月,國務院印發《中國製造2025》,明確提出在2020年之前,90-32nm設備國產化率達到50%,2025年之前,20-14nm設備國產化率達到30%,並明確將集成電路放在發展新一代信息技術產業的首位。

2016 年5 月,國務院印發《國家創新驅動發展戰略綱要》,提出要加大集成電路的技術攻關和推廣力度,為我國經濟轉型升級和國家安全提供保障。

2016年12月,國務院印發了《十三五國家戰略性新興產業發展規劃》,部署了包括集成電路發展工程在內的21項重大工程。

在2018年政府工作報告中,政府首次將集成電路放在重點推進產業的首位,彰顯對集成電路發展的決心,為市場打下了一劑強心針。

資金方面,2014年9月,在財政部和工信部共同推動下,國家集成電路產業投資基金(簡稱「大基金」)正式成立,首期募集資金規模達1387億元。

據國家集成電路產業投資基金股份有限公司總裁丁文武先生介紹,截至2017年底,國家大基金共投資49家企業,累計有效決策投資67個項目(其中包括約24家上市公司),累計項目承諾投資額和實際出資分別達到1188億元和818億元,分別占一期募資總金額的86%和61%,大基金一期已基本投資完成。

《國家集成電路產業發展推進綱要》的發布和國家集成電路產業投資基金的成立,使得集成電路產業已經形成國內各行業中最為完備的政策支持體系,集成電路產業迎來了大發展時機。

大基金的投資範圍包括集成電路的整條產業鏈,其中製造、設計、封測、設備材料各環節投資占比分別為63%、20%、10%、7%,主要投向了中芯國際等集成電路製造環節廠商。

製造環節位於產業鏈偏下游位置,在加大製造業投資、擴大產能的同時可以加速帶動上游產業擴張,重塑全產業鏈架構使得國內半導體產業的虛擬IDM模式不斷完善。

近日,大基金二期募資也已經啟動,擬募資1500-2000億,有望在設備製造、晶片設計和材料領域加大投資,抓住國內產能擴張的時間窗口,進一步串聯整條產業鏈。

同時,考慮到資金具有的放大效應,其將會通過大基金、地方基金、社會資金以及相關的銀行貸款等債券融資,按照1:3的撬動比例,撬動社會資金4,500億至6,000億元。

外加大基金一期及其撬動的社會資金,國家集成電路產業投資基金總募集規模有望達到一萬億元。

2018年3月底,財務部等三部門下發《關於集成電路生產企業有關企業所得稅政策》,定向扶持集成電路製造產業,該政策將對2018 年1 月1 日後投資新設集成電路生產企業或項目:①線寬小於130 納米且經營期在10 年以上的,第1-2年免徵企業所得稅,第3-5年按25%法定稅率減半徵收企業所得稅;②線寬小於65 納米或投資額超過150 億元,且經營期在15 年以上的,第1-5 年免徵企業所得稅,第6-10年按25%法定稅率減半徵收企業所得稅。

此政策一方面通過對國內製造業企業的直接減稅,使得盈利能力可獲得較大程度的提升;另一方面有望進一步激發製造企業產線投資積極性,強化上游設備需求,擴大設備市場規模。

在政策與資金的共同推動下,國產設備發展取得了長足的進步:以02專項實施最早的矽刻蝕機為例,於2003年啟動時,與國外相差20多年的差距;經過這些年的發展和國家專項的大力支持,北方華創每一代的設備推出後,差距都在縮小。

2016年14nm的刻蝕機進入生產線時,技術差距基本縮小到2-3年。

2.3. 國內建廠潮帶來行業機遇

我們統計了23個國內目前正在進行或計劃進行的12寸晶圓廠建廠計劃,其中內資晶圓廠13個,外資晶圓廠10個,製程工藝水平高至14nm,低至150nm,應用包括了存儲、驅動、代工等領域,覆蓋面極其廣泛,新增月產能合計達到了156萬片。

為測算上述擴產計劃會具體帶來多少設備需求,我們做出如下假設:

①資金占比:總投資額中,85%為廠房與設備投資的非流動資金,其餘15%為輔底流動資金;非流動資金中75%為設備投資金額,其餘25%為廠房建設投資金額。

②時間分配:開工後一年內進行廠房建設,開工後第二年開始進行設備投資,第二年至第四年設備投資比例分別為40%、40%和20%

根據以上假設,我們測算出2018至2020年國內產線規劃所決定的設備需求為1,605、1712和1,056億元,前兩年因產線陸續進入設備採購階段,設備需求有所增加,第三年因為部分產線投資已經結束或接近尾聲,設備需求有所放緩。

但我們認為在整個產業轉移的大背景下,隨著未來更多產能擴廠計劃的披露,設備需求將會持續增長。

2.3.1. 三大類設備占據超七成的晶圓設備需求

在設備投資中,80%的比例為晶圓製造設備,測試設備和封裝設備分別占9%和6%,剩餘5%為凈化系統等其他設備。

在晶圓設備中,光刻、成膜和刻蝕設備占比最高,可分別達到30%、20%和25%。

薄膜沉積:IC製造最基本工序

薄膜沉積是一系列涉及原子的吸附、吸附原子在表面的擴散及在適當的位置下聚結,以漸漸形成薄膜並成長的過程。

通過薄膜沉積,可以給材料的表面覆蓋上一層薄膜已達到特定的特性。

在晶圓製造過程中,為了實現晶片的設計效果需要在矽片上集成複雜的電路,這些結構層大部分是採用薄膜沉積的方法實現的。

特別是在矽片完成清洗步驟後,往往要經歷沉積-刻蝕-沉積的反覆過程,根據每層表面介質的不同,可能採用不同的沉積方法,從而形成晶圓複雜的分層效果。

薄膜沉積的過程可分為物理氣相沉積PVD和化學氣象沉積CVD兩類。

顧名思義,物理氣相沉積的過程中不發生化學反應,只發生物質的相變等物理變化,如蒸鍍過程是將固態蒸鍍源轉換為氣態,再在目標表面形成固態膜的過程。

而CVD則通過化學反應進行,將反應源以氣體形式通入反應腔中,經過與其他外部反應物或與基板進行化學反應形成目標生成物沉積於基板上。

全球範圍來看,應用材料(AMAT)在CVD和PVD設備領域都保持領先地位,自1992年以來,應用材料就是全球最大的半導體設備供應商,在薄膜設備領域也保持著行業龍頭的地位,PVD市占率接近65%,CVD市占率接近30%。

而相對於其他設備,成膜設備是國產化進程相對較快的設備類別,北方華創、瀋陽拓荊等公司正在不斷突破,北方華創的28nm Hardmask PVD設備,實現了我國PVD設備零的突破和技術跨越,已被指定為28nm製程工藝的Baseline機台,率先進入國際供應鏈體系。

光刻:集成電路製造最關鍵工序

光刻工藝是最複雜的工藝,光刻機是最貴的機台。

光刻技術指利用光學- 化學反應原理,將電路圖形傳遞到晶圓表面,形成有效圖形窗口的工藝技術,而光刻機是光刻工序中的曝光工具。

主流微電子製造過程中,光刻是最複雜、最昂貴,同時也是最關鍵的工藝。

光刻工藝決定著整個IC工藝的特徵尺寸,代表著整個半導體製造工藝發展的水平。

從成本角度講,光刻機是生產線上最貴的機台,價格可達到千萬-億美元/台。

而每一次工藝節點的進度背後都是光刻設備的重大革新,光刻設備從光源(從最初的g-Line, H-Line發展到極紫外EUV)、曝光方式(從接觸式到步進式,從乾式投影到浸沒式投影)不斷進行著改進。

從成本角度講,光刻機是生產線上最貴的機器,價格可達到千萬-億美元/台。

價值主要集中在由15~20個直徑為200~300mm的透鏡組成的成像系統和定位精度小於10nm的定位系統上。

荷蘭的ASML以其EUV光刻機占據著全球超過70%的高端光刻機市場,市場地位無可撼動。

其最新的光刻機產品售價高達1億美元,依舊處於供不應求的情況。

上海微電子是國內光刻機廠商領先者,其已量產的光刻機中性能最好的是90nm光刻機。

由於技術難度巨大,短期內還是處於相對劣勢的地位。

刻蝕:最小線寬的決定性工藝

刻蝕(etching)是半導體器件製造中利用化學途徑選擇性地移除沉積層特定部分的工藝。

刻蝕工藝對設備精度要求非常高,一台刻蝕機的準確度要達到99.99%才能滿足整個矽片的良率要求。

因為如果刻蝕過程中出現失誤,將造成難以恢復的矽片報廢。

美國應用材料公司副總裁Shankar Venkataraman博士就曾表示,「生產先進晶片的一個重要壁壘是在一個多層結構晶片中有選擇性地清除某一特定材料,而不破壞其他材料」。

因此,刻蝕機在晶圓生產線中的成本占比僅次於光刻機,其發展也在一定程度上決定著摩爾定律能否繼續向前發展。

在8英寸晶圓時代,刻蝕設備主要分為介質、多晶及金屬刻蝕三大類,進入12寸時代後,隨著銅互連的發展,介質刻蝕份額逐漸加大,目前已超過了50%。

隨著器件互連層數增多,介質刻蝕設備使用量有望進一步增大。

在這樣的趨勢下,刻蝕機龍頭泛林集團(Lam Research)利用其較低的設備成本和簡單的設計,逐漸在65nm、45nm設備市場超過TEL等企業,占據了大半個市場,成為行業龍頭。

雖然國產刻蝕機的市場份額僅有6%,但國內企業也正在高端製程上不斷發力。

中微半導體的16nm刻蝕機已經實現商業化量產並在客戶的產線上順利運行,7-10nm刻蝕機設備可以與世界最前沿技術比肩。

北方華創8英寸高密度等離子矽刻蝕機已進入中芯國際產線,深矽刻蝕設備也挺近了東南亞市場。

2.3.2. 建廠潮將為國產設備帶來超過250億的市場空間

基於國內各類設備投資金額占比和國產化率趨勢,保守估計三大核心設備國產化率以每年增加1個百分點的速度穩步提升,其他非核心設備使用與薄膜設備同樣的國產化率,即對2018年至2020年的國產化率做出如下預測:

結合我們之前做計算的建廠潮帶來的設備投資額,我們推算出2018至2020年國產設備需求至少可以達到84億、102億和72億元,三年合計可達到至少258億元的市場規模。

隨著產業轉移的不斷進行和新建產線的持續披露,預計將會有更大的銷售規模。

2.4. 政策資金支持人才培養,研發投入成為企業制勝關鍵

同樣,半導體的技術密集型特點也體現在人才的積累與研發投入上。

《國家集成電路產業推進綱要》同樣提出,要加大人才培養和引進力度,為集成電路人才引進提供經濟保障,加大集成電路領域優秀人才的支持力度。

《中國製造2025》也將「人才為本」作為了基本方針之一。

根據2017年5月工信部軟體與集成電路促進中心(CSIP)發布的《中國集成電路產業人才白皮書(2016-2017)》,到2030年我國集成電路產業規模將擴大5倍以上,目前相關從業人員總數不足30萬人,需要70萬人才能填補人才總量的缺口。

《白皮書》認為我國集成電路產業人才供給與產業增速存在不匹配現象,僅依託高校不能滿足發展需求,要做到「產學研」融合培養。

2017年10月,國內晶圓代工龍頭中芯國際成功聘用原台積電自身研發處處長、三星研發部總經理梁孟松作為公司聯席CEO。

梁孟松在三星就任時期,曾幫助三星從28nm製程到14nm製程的飛躍,快速完成對老東家台積電的趕超。

足見具有豐富經驗的科研工作者在促進半導體技術發展中起到的關鍵性作用,而引進海外人才也成為我國半導體設備企業可行之路。

在設備市場上,美國半導體設備龍頭應用材料在2017財年投入17.74億美元作為研發費用。

從2005至2017財年,研發費用對收入占比也始終保持在14%左右,尤其是在營收大幅下降38.3%的2009年金融危機時期,研發費用占比反而有所提升,達到18.6%,公司一直把新產品研發作為公司重要的發展戰略。

尤其在近年先進世代線技術發展的過程中,公司加大了研發投入,在2017年同比增長了15%,達到金融危機以來最大增速。

全球光刻機霸主ASML也同樣注重研發,近十年來研發費用率始終保持在16%左右,並且為了配合先進世代線的發展,同樣在近兩年開始加大研發投入力度。

參考後文中國際半導體設備龍頭對研發的重視我們認為,研發是驅動半導體設備企業發展的核心。

而對於技術並不足夠成熟的國內企業,為了高速發展完成趕超必須大力投入研發,犧牲的利潤換來的將會是未來更大的增長。

3. 國產設備短期受益於建廠潮,長期受益於國產化率提升趨勢

3.1. 新增產能精度增速開始降低,中低端需求仍然存在

隨著摩爾定律逐漸逼近極限,海外企業在高端製程的研發進度將會放緩,這就為國內半導體設備廠商提供了彎道超車的時間條件。

摩爾定律是由英特爾(Intel)創始人之一戈登·摩爾於1965年提出的「集成電路晶片上所集成的電路的數目,每隔18個月就翻一倍」,多年來一直被半導體界奉為金科玉律,但是近年來隨著製程進入20nm以下,逐漸逼近現有工藝以及材料物理的極限,提高製程的難度和成本都開始飛速抬升。

而為了延續半導體產品的性能提升以及成本下降,代工企業已經開始通過根據產品需求合理搭配技術,並升級封裝工藝以完成「超越摩爾」的目標。

台積電以16nm製程工藝搭配自主開發的InFO WLP技術提供的更薄更小的封裝方案,擊敗三星的14nm製程工藝獨吞A10 訂單,就是一個經典案例。

目前國內對先進位程的掌握程度並未達到國際先進水平,代工龍頭中芯國際也還處在28nm成熟製程的爬坡與14nm製程的攻堅階段,而國際代工龍頭台積電已經完成了10nm的量產爬坡,並已開始7nm的量產,國內在先進位程的能力不足使得擴產主要集中在中低端製程領域。

另一方面,雖然從理論上講高製程帶來了更低的開關能耗和更快的運行速率,但隨著研發難度和生產工序的增加,製程演進的性價比提升趨於停滯,造成了「28nm 長製程」的現象。

20nm和16/14nm製程的成本一度高於28nm,這是摩爾定律有效運行60多年來首次遇到製程縮小但成本不降反升的問題。

根據我們統計,在國內已披露的23條新增晶圓廠中,除去尚未披露製程規模的廠商,具有28nm以上(不含28nm)製程生產計劃的晶圓產線只有6家,總計劃投資額約合2,846億元,僅占全部投資額的29%。

3.2. 半導體行業正處於周期性向成長性轉變的過程中

半導體作為電子產業鏈的上遊行業,其周期性的供需兩端分別來自於下游各行業需求的波動和上游集成電路供給的波動。

而此集成電路的供給又成為了半導體設備的需求端,而下游電子產業又受經濟周期變動影響巨大,從而傳導至最上游為半導體設備帶來周期性。

為確定半導體周期性傳導關係,我們使用數據記錄周期更長的北美半導體設備製造商出貨額月度同比作為上游設備景氣度指標,下游半導體採用美國半導體產業協會統計的半導體銷售額數據。

通過數據分析與比較,我們發現以下趨勢:

2012年之前,半導體銷售額與設備銷售額具有明顯的周期性與相關性,同時半導體銷售總是先於設備到達周期的波峰或波谷,並且設備波動總是大於下游半導體銷售波動。

2012年以後,兩者震盪幅度明顯減小,周期性有所減弱。

從需求來看,在過去,半導體行業下游應用較為單一,需求變化與晶圓廠擴產的錯配

現象為行業帶來周期性波動。

2012年起,智慧型手機迅速崛起,使得半導體市場由之前的單一市場驅動發展為智慧型手機+計算機的雙下游驅動,市場的分散化有效緩解了需求端的波動性。

此外,2016年以來,智慧型手機從增量市場進入存量市場,出貨量表現出疲軟態勢,2018年以來國內智慧型手機出貨量更是出現了負增長,而半導體銷售額卻以保持了20個月的連續增長,2018年一季度同比增速更是超過了20%,這也側面反映出新興市場的發展對半導體產業發展的推動作用。

從供給端看,理論上講半導體銷售的變化對半導體企業資本自出和設備需求具有推動作用,但由於信息或訂單的傳導需要時間,導致半導體的拐點總是先於設備到來,例如在2001年9月,半導體銷售額同比降低44.6%,為當期最低增速,而半導體設備到了11月才到達底部;到2009年2月,受金融危機影響,半導體產業大幅下跌,與當年3-4月達到低點,而彼時設備行業還在下降過程中,並於4-5月觸及谷底;到了2010年,整個電子產業開始復甦,市場需求帶動上游需求大幅增加,於當年2-3月達到增長高峰後回落,而半導體設備銷售額在5-6月進入頂部後開始回落。

全球半導體行業已經不斷發展幾十年,半導體銷售規模從2001年的1,768億美元增長至2017年的4,122億美元,以5.4%的複合增長率持續增長著,但同時,龍頭企業始終保持著高於行業平均增速的增長速度,以晶圓代工行業龍頭台積電為例,公司自2001年開始以13.7%的速度持續增長,市占率已經在2017年達到了55.9%,此時第二名的格羅方德僅有9.4%,由於代工行業具有資金密集、技術密集的特點,使得行業壁壘高於大部分其他半導體環節,也促使擁有技術和資金自給自足的特點加速市場份額的提升。

半導體作為一個歷史悠久的周期性行業,在每一次供給端收緊的過程中都為行業整合帶來推動力。

以存儲器龍頭三星為例,2008年金融危機時,DRAM價格暴跌就成,三星卻利用上一年利潤的118%進行產能擴充,並發起價格戰致使德國廠商奇夢達和日本廠商爾必達分別在2009和2012年破產,爾必達後被美光低價收購;2011-2012年,DRAM市場熱度再次退去,三星卻又一次加大投資,兩年內資本支出均不低於170億美元,在2013年行業復甦前占得先機,並進一步擠出競爭對手,使得台灣茂德於2012年破產,華亞科於2015年被美光收購為全資子公司,自此業內僅剩三星、SK海力士、美光三大玩家,其中三星和SK海力士占據75%的市場份額。

參考從1999年到2017年的半導體銷售額年度變化的波動趨勢我們再次發現,整個半導體銷售額的波動逐年減小是一個長期的過程,波動幅度從2000年前後的50%,下降到2009年前後的41%,到2016年前後縮減到了20%。

周期性有明顯的弱化。

2018年以來,已創紀錄地連續三個月保持著20%以上的同比增長,成長性開始強化。

成長性的提升帶來行業持續的增長,而作為上游的半導體設備行業也開始了它的持續增長之路,北美半導體設備製造商銷售額自2016年10月份以來,已連續增長了18個月。

根據SEMI預估,2018年全球半導體設備銷售額增速將達到9%,而隨著2017年中國晶圓廠開始大規模興建,中國將成為主要增長引擎,SEMI預測2018年年中國設備銷售額成長幅度最大,將同比增長49.3%,達到113億美元,成為僅次於韓國的第二大市場。

大陸在設備行業景氣度持續提升和國內需求爆發的雙重作用下所孕育的絕佳土壤,為設備企業帶來了生長機會。

3.3. 短期受益於擴產需求,長期受益於國產化率提升

肥沃的土壤必然會為企業帶來做大做強的可能性,同時通過研發形成的技術優勢也是企業發展的關鍵。

目前以北方華創、中微半導體、盛美半導體等為代表的主要半導體設備國內廠商已經在多類產品上實現了批量生產和測試。

我國總體工藝水平28nm的國產設備覆蓋率已經達到了17%-18%,刻蝕機、離子注入機、PVD、CMP等16種關鍵設備以經過大產線考核,光刻機突破90納米曝光解析度,另外有9項應用於14nm的國產設備開始進入驗證階段。

與此同時,國產設備的客戶接受度也在不斷增強,在2017年統計的主流65-28nm客戶不定量的採購的12類設備清單中可以看到,總採購量已經超過了200台,今年將會進一步提升。

我們認為,國內半導體設備企業在2018至2020年的成長主要來自於國內產能擴張所帶來設備需求的被動拉動,根據我們先前的統計、測算與假設,2018年至2020年在建的28nm及以下製程的產線共有17條,總設備投資額分別為1,257億元、1,182億元和563億元,根據28nm設備國產化率17%-18%,假設這部分設備國產化率水平達到20%,那麼將會分別帶來251億元、236億元和113億元的國產設備市場空間。

而隨著國家政策與資金的持續支持,以及不斷加強的研發投入,國內半導體設備企業將有望在高端製程中取得不斷突破,例如北方華創已將10nm、7nm前沿關鍵技術的研發定為公司重點工作之一。

設備企業在追趕的過程中將持續推進設備國產化率的提升,以完成中國製造2025所定下的目標:在2020年之前,90-32納米工藝設備國產化率達到50%,2025年之前,20-14納米工藝設備國產化率達到30%。

這將為整體行業帶來第二波成長機會。

4. 綜合型VS專業型,探索不同戰略選擇的共通之處

半導體設備公司可分為覆蓋多種設備的綜合型公司以及專注某些細分領域的專業型公司,兩者在產品結構、併購風格上有所不同,但對研發投入和自主創新的態度高度一致。

下面分別以AMAT和北方華創作為綜合型設備商代表,以ASML和中微半導體作為專業型設備商代表,分析其發展路徑。

4.1. 綜合型公司發展路徑——AMAT和北方華創

4.1.1 AMAT:多樣化併購、市場擴張與內部創新相結合

AMAT(應用材料)公司是半導體設備全球龍頭,規模最大,設備種類最多,是綜合型公司的最典型代表。

公司產品種類繁多,生產的半導體設備覆蓋原子層沉積、化學氣相沉積、物理氣相沉積、電氣化學沉積、外延工藝、刻蝕、離子注入、測量與檢測、快速熱處理等,幾乎包攬光刻機以外的半導體製造各環節所需設備,是三星電子、台積電、美光、英特爾等巨頭的設備供應商。

回顧AMAT的發展歷程,首先,公司產品線的拓展離不開積極的多樣化的併購活動。

作為半導體設備綜合平台,要保證廣泛的產品覆蓋度,公司不得不面臨技術研發投入大、研發周期長、失敗風險高、技術更新疊代迅速的問題,而多樣化的外延併購可以加快公司技術更新速度,適應市場需求,並降低內部研發失敗的風險。

自上世紀90年代中後期起,AMAT進行了一些列併購。

1997年,AMAT收購以色列公司Opal Technologies和Orbot Instruments,以切入集成電路監測與控制設備領域;次年,收購Consilium公司,以通過其MES系統提高生產效率;2000年,收購Etec Syetems公司,切入光罩和薄膜電晶體陣列測試領域;緊接著在2001年收購Oramir半導體設備公司,以獲得該公司的半導體晶片雷射清洗技術,對公司現有的晶片檢測系統進行補充;2006年,通過收購薄膜沉積設備供應商Applied Films公司,成功進入太陽能電池和相關設備市場,產品線得到大舉擴充;2008年,收購義大利Baccini公司,以開拓義大利市場,並擴大自身在太陽能面板製造設備市場的影響力;次年,公司在西安建太陽能研發中心並收購Semitool公司,以提高公司在晶圓級封裝和存儲器銅互連工藝這兩大快速增長市場上的地位;2011年,收購晶片設備製造商Varian,以提高公司在離子注入系統和電晶體生產方面的技術。

這些併購活動壯大了公司的規模和主營業務,並在公司增速放緩、市場份額已難以提高之時為其提供了新的增長驅動力,使公司一直得以在多個領域維持有競爭力的市占率。

其次,公司順應產業轉移趨勢,積極進行全球布局,擴大市場。

上世紀70年代,美國對日本進行以家電行業為主導的裝配產業轉移,這是歷史上第一次半導體產業轉移。

基於此,1979和1984年,AMAT的日本子公司和技術研發中心相繼設立。

1979至1983年期間,公司在日本地區銷售額年均複合增長率達93%,1983年日本銷售額占公司總銷售額比例達到30%。

上世紀90年代,日本經濟泡沫破裂,出現了從日本到韓國和台灣的第二次半導體產業轉移,韓國和台灣藉此機會確立了在PC和手機端的晶片霸主地位,AMAT於1985和1989年分別設立韓國辦事處和台灣辦事處。

此外,公司於1984年開始進入中國市場,成為第一個在中國內地設立客服中心的半導體設備商,於1991年建立新加披辦事處,在歐洲的蘇格蘭、德國也設立了營業部。

廣闊的全球市場使公司營業額不斷實現突破。

最後,AMAT始終注重內部技術研發,每年在研發上投入不低於營收的11%,團隊成員中30%為專業研發人員,有業界最強的智慧財產權儲備,擁有12000項專利,平均每天申請4個以上專利,旗下的梅丹(Maydan)技術中心耗資數十億美元,致力於先進晶片製造,是全球最先進半導體研發實驗室之一。

高強度的研發使公司核心設備技術始終領先全球。

4.1.2 北方華創:資源重組、內生研發與外延併購的結合

北方華創是國內半導體設備龍頭,由七星華創和北方微電子重組而成,實現資源優勢互補,在整個泛半導體領域涵蓋了集成電路、先進封裝、LED、MEMS、電力電子、平板顯示、光伏電池等半導體相關領域,擁有著比較完整的泛半導體設備產品線,並在諸多設備上都取得了一定的成就。

公司注重內修,2016年研發費用為7.6億元,占營業收入47%。

雖然絕對值遠不及國際巨頭,但研發費用率更高,表現出強烈的趕超意願,而這離不開政府資金的支持,2017年5月,公司收到用於國家科技重大專項「14nm立體柵等離子體刻蝕機研發及產業化」的國家科技重大專項項目經費9,423萬元,用於「28-14nm 原子層沉積系統(ALD)產品研發及產業化」項目經費4,811 萬元以及「14-7nm CuBS 多工藝腔室集成裝備研發及產業化」項目經費資金 4,746 萬元。

人才方面,公司擁有中組部「千人計劃」專家10名,北京市「海聚工程」專家11名及數十名海外專家組成的研究隊伍,更擁有北京市領軍人才團隊、首席技師工作室、國資委優秀科技創新團隊等各類管理與技術優秀人才團隊。

公司2016年技術人員占比接近三分之一。

此外,通過外延擴張,合理併購,公司得以強化產品性能,拓展產品線。

2017年8月,公司全資子公司「北方華創微電子」擬在美國設立子公司,並以1,500萬美元收購美國Akrion Systems LLC公司,雙方於2018年1月完成交割。

Akrion專注於半導體矽晶圓清洗設備,產品下游應用領域與公司基本重合,收購完成後,公司在清洗機領域已擁有單片與批式清洗兩大產品線,產品結構更加完善,公司技術與客戶積累也得到強化。

4.2. 專業型公司發展路徑——ASML和中微半導體為例

4.2.1 ASML:持續研發投入的同時專注於專業化併購

ASML是全球最大的光刻機設備提供商,在該領域具有壟斷地位,16年市占率達80%,是專業型公司的最典型代表。

公司專注於光刻設備生產,主要提供DUV光刻機、EUV光刻機和綜合性光刻解決方案,主要客戶包括三星、台積電、英特爾。

ASML在業內的不可替代性主要來源於對光刻技術的高強度研發投入和專業併購。

研發上,一方面是公司內部持續不斷的高投入。

公司每年研發費用不低於總營收的10%,目前19000名員工中研發人員超過7000人,占比接近40%。

2017年7月,公司成功發布用於7 nm/ 5nm節點的整體光刻產品套件。

該產品套件由TWINSCAN NXE:3400B EUV光刻系統,TWINSCAN NXT:2000i浸入式系統和HMI eP5電子束計量系統組成,使晶片製造商能夠在7 nm/ 5nm邏輯和16nm DRAM節點上開發,優化和控制生產工藝。

另一方面,ASML推行技術合作開發戰略,專注核心客戶的技術需求,利用客戶入股注資和科研經費提供加快研發進程。

2012年,為加快450mm晶圓技術和下一代EUV光刻技術的研發, ASML與英特爾簽訂協議,英特爾對ASML進行32億美元股權投資,並在5年內提供8.29億美元的研發經費,並承諾從ASML提前訂購特定數量的訂單。

同年,台積電和三星也分別入股ASML,當年8月台積電加入ASML的客戶共同投資計劃,出資8.38億歐元購買ASML的5%股權,並提供2.77億歐元分5年投入到ASML的研發項目中,三星則出資7260億韓元購買ASML的3%股權。

除此之外,ASML還藉助一系列專業性的併購,為業績增長和光刻技術進步提供動力。

1999年,為增加聚焦深度,改善機器成像能力,擴大光刻窗口,ASML收購MaskTools;2001年,收購Silicon Valley Group並將之作為主要的研發和製造中心,同年公司推出採用雙工作檯的TWINSCAN系統;2007年,收購了領先的半導體設計和製造優化解決方案提供商BRION,這是公司「綜合光刻」戰略的開端,擴充了ASML在光刻系統方面的技術;2013年,收購光刻光源製造商Cymer,以加速EUV的發展;2016年進行了兩筆重要的收購,一是與其曲面反射鏡提供商卡爾蔡司進行戰略合作,收購其子公司Carl Zeiss SMT的24.9%股權,合作開發High-NA EUV,二是收購領先的e-beam測量工具提供商Hermes Microvision,擴展綜合光刻產品組合,用於檢測機器精度,增加機器的正常運作時間和產量。

4.2.2 中微半導體:深耕等離子刻蝕和化學薄膜沉積領域

中微半導體是國內領先的高端晶片設備企業,也是大基金一期首個投資企業,公司專注於刻蝕和化學薄膜沉積類型設備的生產,在晶片介質刻蝕設備、矽通孔刻蝕設備、MOCVD設備三大細分領域均成為世界三強。

成功進入海內外重要客戶供應鏈。

其中,晶片介質刻蝕設備已在台積電7nm、10nm量產線工作,並與其聯合進行5nm認證,同時占據中芯國際50%以上新增採購額;矽通孔刻蝕設備在我國TSV/CIS/MEMS刻蝕機市場市占率超過50%,MEMS刻蝕機進入德國博世和意法半導體;MOCVD設備方面,公司的第二代Primo A7 MOCVD設備在國內市場已全面取代德國Aixtron和美國Veeco,獲得80%市場份額。

中微晶片介質設備已經在10nm和7nm的研發線核准數道BARK刻蝕應用,成為標配設備,並開始5nm器件刻蝕開發,目前共進入25條晶片生產線,生產4300多萬片晶圓,其中包括台積電7nm、10nm量產線。

公司該設備在台積電擁有232個反應台,累計生產晶圓超過2400萬片。

此外,中微的電容型介質刻蝕設備已進入全球市場前三,僅次於東京電子和泛林。

矽通孔刻蝕設備方面,8英寸和12英寸設備國內市占率超過50%。

中微在3年內運出100個反應台,在MEMS和CIS加工超過340萬片晶圓。

中微自主設計的MEMS刻蝕機達到國際最先進水平,與歐美同類型設備相比具有良率高、輸出量大、成本低的優勢,已成功進入德國博世和意法半導體。

中微的TSV矽通孔刻蝕機是業界唯一的雙台機,無論技術性能、產率和成本都優於美國科林和英國SPTS,市場份額呈現進一步上升趨勢。

中微的MOCVD設備在國內藍光LED市場實現逆襲,其第二代Primo A7 MOCVD設備,已在國內全面取代德國Aixtron和美國Veeco的設備,從2016年底至2018年1月累計獲得近400台訂單,市占率達到80%。

公司和團隊30年來一直致力於推動刻蝕技術和設備發展,對包括400KHz雙電極反向耦合介質刻蝕技術、TSV ICP小體積反應器及高速氣體切換技術、雙反應台反應器集成系統等19項關鍵的等離子刻蝕體技術進行了創新和突破。

研發方面,公司擁有尹志堯等100多位來自美國矽谷、日本、韓國、東南亞及台灣的行業專家,曾領導或參與20多個國際先進半導體設備的開發及市場化。

研發人員占公司員工總數近三分之一。

公司30年來一直致力於推動刻蝕技術和設備的發展,在32項關鍵的等離子體刻蝕技術和設備創新突破中,由中微成員或中微首創的有19項,占比60%。

通過上述分析比較,我們得到了以下結論:

產品結構上,綜合型設備企業產品線豐富,產品覆蓋設備行業多數領域,憑藉產品廣度形成市場競爭力;專業型設備企業深耕某一個或幾個細分領域,在該領域形成壟斷優勢。

併購風格上,綜合型設備企業從事的併購以多樣化併購為主,併購的標的往往覆蓋不同領域;專業型設備企業的併購活動以專業併購為主,併購標的多與公司所專注領域有關且在某一細分技術上具有比較優勢。

但是這些企業都有一個高度相同的地方——注重研發投入和自主創新,無論是ASML與三星、英特爾、台積電等核心客戶的聯合研發,還是北方華創承接02專項藉助政府補貼實現的高比重研發經費投入,持續的、高強度的研發投入和核心技術的自主掌握始終是企業的安身立命之本。

5. 推薦標的

5.1. 北方華創:半導體設備平台型龍頭

北方華創由原七星華創和北方微電子戰略整合而成。

重組完成後,公司擁有半導體裝備、真空裝備、新能源鋰電設備及精密元器件四個事業群,是國內集成電路高端工藝裝備的龍頭。

其中半導體裝備為公司最主要業務,設備種類齊全,覆蓋晶圓製造過程中的薄膜生長和刻蝕環節以及輔助設備,囊括了七星電子的清洗機與氧化爐、北方微電子的刻蝕設備、物理氣相沉積設備和化學氣相沉積設備三大類設備,以及單片退火設備和退火爐。

公司營業收入開始加速增長,歸母凈利潤觸底反彈,半導體設備業務發力。

公司重組後業績明顯提振,2017年實現營業收入22.2億元,同比增長37%,增速創近6年新高;歸母凈利潤自2014年觸底後反彈強勁,2017年達到1.26億元,同比增長40%。

從分產品收入看,公司2017年半導體設備收入為1.13億元,同比增長39.47%,占總營收比例進一步提高到51%,是公司業績增長的重要驅動力之一。

公司注重內部研發和外延併購結合,自重組後研發費用絕對值和占營收比例大幅提升,並積極承接國家02專項,實現技術突破,先後完成了12吋集成電路製造設備90-28nm等多個關鍵製程的攻關工作。

公司的14nm製程等離子刻蝕機、Hardmask PVD、Al-Pad PVD、ALD、單片退火系統、LPCVD等設備已成功進入集成電路主流代工廠;應用於28nm的Hardmask PVD系統工藝設備、應用於28/40nm的單片退火設備、應用於55nm的矽刻蝕機,均被國內領軍集成電路晶片製造企業指定為Baseline機台,28nmPVD和8英寸高密度等離子矽刻蝕機已進入中芯國際生產線;公司的12英寸65/55nm清洗機已成功達到了100萬片的單機累計流片量;公司的深矽刻蝕設備成功挺進東南亞市場

人才方面,公司擁有海外專家50餘人,其中「千人計劃」專家10名,北京市「海聚工程」專家12名,並擁有北京市領軍人才團隊、首席技師工作室、國資委優秀科技創新團隊等各類管理與技術優秀人才團隊,司主要研發人員平均年齡31歲,具備充沛的精力和豐富的創造力。

此外,2017年8月,公司全資子公司北方華創微電子收購美國半導體矽晶圓清洗設備商Akrion Systems LLC公司,清洗機是公司半導體設備產品之一,公司12英寸65/55nm清洗機單機累計流片量已突破100萬片大關,本次收購後公司的清洗機業務部將擁有單片與批式清洗兩大產品線,進一步擴大清洗機市場。

5.2. 至純科技:高純工藝系統龍頭

公司主要為電子、生物製藥等行業的先進位造企業提供高純工藝系統的整體解決方案,業務包括高純工藝系統與高純工藝設備的設計、加工製造、安裝以及配套工程、檢測、廠務託管、標定和維護保養等增值服務,主要應用於電子行業的摻雜、光刻、刻蝕和 CVD(MOCVD\PECVD)成膜等工藝環節和生物醫藥及食品飲料行業的配液等工藝環節。

截至 2017年底,公司在半導體行業收入已從 2013 年的 346 萬元快速增長至2.10億元,占比已達到57%,半導體行業收入已經成為公司收入的主要來源。

公司2017年歸母凈利潤4,929萬元,同比增長8.8%

高純工藝系統是應用於泛半導體(集成電路、平板顯示、光伏、LED 等)和生物醫藥等先進位造業的工藝介質(氣體、化學品、水等)高純輸配系統,確保工藝介質在製程中不受雜質污染,是直接影響產品工藝精度與良率的關鍵配套系統,約占相關生產線固定資產投資總額的 5%-8%。

參照我們之前的統計,以中位數6.5%為固定資產投資總額的占比,2018年至2020年國內高純工藝系統市場空間將達到109億、102億和49億元。

作為國內高純工藝系統行業的先行者,公司在國內同行業企業中具有較強的競爭優勢。

公司的客戶均是各所屬行業的領軍者或主要企業,如電子行業的中國電科第 48 研究所,光伏領域的晶澳、英利能源、晉能集團、盛康光伏,LED 領域的和輝光電、華磊光電、國星半導體,半導體領域的新進芯、SK 海力士,LCD 領域的京東方,生物製藥領域的中信國健、揚子江藥業、華瑞製藥、邁瑞生物、東富龍、易健生物等。

在優質客戶群獲得的廣泛認同,使公司擁有較強銷售定價能力。

5.3. 長川科技:半導體檢測設備龍頭

長川科技主要為集成電路封裝測試企業、晶圓製造企業、晶片設計企業等提供測試設備,集成電路測試設備主要包括測試機、分選機和探針台等,目前公司主要產品包括測試機和分選機,是國內為數不多的可以自主研發、生產集成電路測試設備的企業。

2012-2017年,公司收入與凈利潤保持了高速增長。

2017 年,公司實現營業收入1.80 億元,較上年同期增長44.84%;實現凈利潤5,025萬,較上年同期增長21.35%。

此外,公司保持50%以上毛利率與25%以上的凈利率,足見公司的技術優勢。

2016年、2017年全球半導體專用設備銷售規模分別達到412.4億美元和566.2億美元,其中測試設備銷售額分別為33.6億美元和34.6億美元。

隨著下游電子、汽車、通信等行業需求的穩步增長,以及物聯網、雲計 算及大數據等新興領域的快速發展,集成電路產業面臨著新型晶片或先 進位程的產能擴張需求,如SK海力士計劃於 2016 年第三季度量產 3D NAND Flash;三星10納米FinFET 製程技術已基本定型,將於 2016 年 底實現 10 納米晶片製造工藝的規模化應用;台積電預計將於 2018 年上半年量產 7 納米晶片,並有望在 2020 年量產5納米晶片等,為包括測試設備在內的集成電路專用設備行業帶來了廣闊的市場空間。

伴隨著晶片尺寸及線條的縮小,用於檢驗和測試 FinFETs、3DNAND 等新型晶片的 測試設備需求不斷增加,由於尺寸減小相應參數信號也會減弱,這對測 試設備提出更高要求。

目前,公司生產的集成電路測試機和分選機產品已獲得長電科技、華天 科技、通富微電、士蘭微、華潤微電子、日月光等多個一流集成電路企 業的使用和認可。

其中,長電科技、華天科技、通富微電為我國封裝測 試龍頭企業,華潤微電子、士蘭微為國內知名IDM 廠商。

與國外設備供應商相比,本土優勢使得公司能提供快捷、高性價比的技術支持和客戶維護,且公司能更好地理解和掌握客戶個性需求,產品在本土市場適應性更強。

5.4. 精測電子:半導體檢測設備新進者

精測電子是國內平板顯示模組檢測行業龍頭,主要從事平板顯示模組檢測技術的研發、生產與銷售。

公司主營產品包括模組檢測系統、面板檢測系統、OLED 檢測系統、AOI 光學檢測系統、TouchPanel 檢測系統和平板顯示自動化設備。

公司產品廣泛應用於TFT-LCD、OLED、TouchPanel 等平板顯示器生產過程的檢測。

公司2017年營收8.95億元,同比增速高達71%,2018年一季度持續強勁增長,增速達到63%。

2017年歸母凈利潤1.67億元,同比增長69%,2018年一季度爆發增長124%。

公司毛利率和凈利率水平分別維持在50%和20%的水平,2017年全年毛利率47%、凈利率19%,盈利能力十分突出。

公司是國內稀缺的覆蓋面板前中後段三大製程的檢測設備提供商,AOI光學檢測設備近幾年持續增長,已有2014年的2%增長至2017年的46%,成為公司收入的主要增長動力。

近期,精測電子藉助在檢測領域積累的豐富經驗,與韓國三星、SK海力士主供應商之一的IT&T公司合作設立合資子公司,正式進軍半導體測試設備領域,公司持有合資子公司65%的股權,並將半導體測試設備加入主營業務之中。

參考我們之前的測算,2018年至2020年國內披露的晶圓廠產線所帶動的檢測設備需求規模分別為140億元、150億元、92億元,公司有望在國內半導體檢測設備需求提升的過程中,打開全新的增長空間。

5.5. 盛美半導體:專注於半導體器件清潔技術

盛美半導體(ACM Research)於1998年成立於矽谷,專注於電拋光急事,在2006年引進國內落地上海張江,主要生產清洗設備、鍍銅設備等產品。

公司2017年營收36.5百萬美元,同比增長33.2%,公司十分注重研發,2017年研發費用占收入比例高達14.1%。

盛美擁有強大的智慧財產權,已獲得超過100項國內及國際發明專利,並有400多項國內及國際發明專利正在申請中。

盛美於當地時間2017年11月3日在納斯達克IPO上市,成為國產設備進軍海外市場的一員。

從技術的角度看,70nm以下的晶片在製造過程中的難點,就在於矽片上的顆粒物和污染難以清洗。

而到了20納米以上高端製程後,每一步供需都離不開清洗工藝。

而隨著半導體工藝從2D發展到3D,FinFET對矽片清洗技術提出了更新的挑戰。

盛美半導體經過多年的努力,提出了時序能激氣穴震盪(TEBO)技術,可以覆蓋16nm-19nm製程的3D結構的無損高效清洗。

雖然盛美半導體的市場份額較低,但其產品已經進入了中芯國際、SK海力士等知名半導體製造廠商,並獲得了「02專項」的扶持。

未來有望享受國內半導體設備需求紅利,並不斷通過自身研發拓展國產清洗設備的市場份額。

5.6. 中微半導體:光刻機國產化先鋒

中微半導體(AMEC)深耕光刻機領域,在晶片介質刻蝕設備、矽通孔刻蝕設備、MOCVD設備領域位列全球前三,成功進入海內外重要客戶供應鏈。

中微晶片介質設備已經在10nm和7nm的研發線核准數道BARK刻蝕應用,成為標配設備,並開始5nm器件刻蝕開發,目前共進入25條晶片生產線,生產4300多萬片晶圓,其中包括台積電7nm、10nm量產線。

公司該設備在台積電擁有232個反應台,累計生產晶圓超過2400萬片。

此外,中微的電容型介質刻蝕設備已進入全球市場前三,僅次於東京電子和泛林。

矽通孔刻蝕設備方面,8英寸和12英寸設備國內市占率超過50%。

中微在3年內運出

100個反應台,在MEMS和CIS加工超過340萬片晶圓。

中微自主設計的MEMS刻蝕機達到國際最先進水平,與歐美同類型設備相比具有良率高、輸出量大、成本低的優勢,已成功進入德國博世和意法半導體。

中微的TSV矽通孔刻蝕機是業界唯一的雙台機,無論技術性能、產率和成本都優於美國科林和英國SPTS,市場份額呈現進一步上升趨勢。

中微的MOCVD設備在國內藍光LED市場實現逆襲,其第二代Primo A7 MOCVD設備,已在國內全面取代德國Aixtron和美國Veeco的設備,從2016年底至2018年1月累計獲得近400台訂單,市占率達到80%。

公司和團隊30年來一直致力於推動刻蝕技術和設備發展,對包括400KHz雙電極反向耦合介質刻蝕技術、TSV ICP小體積反應器及高速氣體切換技術、雙反應台反應器集成系統等19項關鍵的等離子刻蝕體技術進行了創新和突破。

5.7. 瀋陽拓荊:國產薄膜設備領先者

瀋陽拓荊有限公司,是由海外技術專家於2010年4月28日組建的高新技術企業,兩次承擔國家科技重大專項。

公司致力於研究和生產世界領先的極大規模集成電路行業專用薄膜設備,矢志成為納米級薄膜製造技術解決方案領導者。

介質薄膜沉積和介質蝕刻是晶片製造中應用最廣泛的工藝製程設備。

拓荊目前只做薄膜設備,且選擇了介質薄膜沉積作為焦點,尤其是PECVD。

等產品逐漸成熟後,也有可能向其他方向發展,但目前是聚焦薄膜設備。

從具體產品看,公司首先得到了國家十一五02重大專項的支持,開發12寸的PECVD設備,主要應用於90-65nm通用介質薄膜工藝流程,已經量產。

隨著產品的成熟,公司將逐漸推進至TSV先進封裝和OLED柔性顯示封裝領域,同時也進行了40-28nm通用介質薄膜設備的開發,已經在客戶端得到了驗證並且投入試量產。

進一步,公司繼續延伸到20nm以下先進薄膜設備及工藝上,比如low-k,NDC,ACHM等。

公司2016年獲得十三五02重大專項研發的支持,著力點國家大存儲器項目的3D-NAND,開發了疊加層專用設備,目前已經有設備在客戶端應用,相比同業競爭對手有一定超越性。

在整個製造過程中,前道工序的應用都已經經過客戶的驗證並逐步進入量產;在晶圓製造的後道,也逐步進入客戶驗證;在封裝方面,公司在國內有較大優勢,國內份額領先;在OLED封裝領域,也有一些非常好的應用。

此外,公司還與清華、負擔、中科院微電子所廣泛合作,開展技術攻堅,6年內共為合作院校爭取到各類國家項目1930萬元;為各合作單位提供研發設備900萬元;此外,公司於本地區的中科院沈科儀,中科院自動化所、中科院金屬所、大連理工大學、新松機器人、東北大學、遼寧大學等院所形成了不同層次不同領域的合作關係。


請為這篇文章評分?


相關文章 

半導體設備迎來歷史性機遇!

導讀:半導體設備迎來歷史機遇,預計2018-2019是行業增長高峰期。如果中國的集成電路產業想要超越國外水平,半導體設備技術必須提高。目前國內龍頭企業盈利能力不強,但戰略意義重大,重點推薦北方華...

從中興事件看風雨中砥礪前行的中國「芯」

2018年4月16日,美國商務部做出激活拒絕令,禁止中興通訊7年內購買、出售或從事任何涉及受美國出口管制條例約束的物品、軟體或技術等交易。中美貿易戰劍拔弩張之際,美國一出手即直指中國高科技行業的...