全球半導體設備廠商 TOP 12強以及大陸TOP 10強盤點

文章推薦指數: 80 %
投票人數:10人

2017年全球半導體設備廠商 TOP 12強:

1、Applied Materials(應用材料)

應用材料公司是一家半導體和顯示製造設備商,應用材料公司成立於1967年,2017財年,應用材料公司營業額達到145億美元,在17個國家設有90個分支機構,全球員員工人數18400人。

擁有超過11,900專利。

1984年,應用材料公司在北京設立了中國客服中心,成為第一家進入中國的國際晶片製造設備公司。

通過長期參與中國的高科技製造業,應用材料公司為半導體、先進顯示以及太陽能光伏製造行業提供設備與服務。

作為一家老牌的美國半導體設備商,應用材料(AMAT)是全球最大的半導體設備公司,產品橫跨CVD、 PVD、刻蝕、CMP、RTP等除光刻機外的幾乎所有半導體設備。

在全球晶圓處理設備供應商中排名第一,應用材料市占率19%左右,其中,在PVD領域,應用材料占據了近85%的市場份額,CVD占30%。

2、Lam Research(泛林)

LamResearch是刻蝕機設備領域龍頭。

Lam Research是向世界半導體產業提供晶圓製造設備和服務的主要供應商之一。

主要從事半導體生產設備、開發、製造、銷售及售後服務。

公司的產品有等離子刻蝕機,化學機械拋光及清洗設備等。

總部設在加州矽谷,是一家在NASDAQ上市公司。

公司在世界各地設立了40多個分公司和客戶服務中心。

鎂光科技、三星電子、SK 海力士等都是其主要客戶。

3、Tokyo Electron(東京電子)

Tokyo Electron LTD (簡稱TEL)成立於1963年,是全球領先的半導體製造設備和液晶顯示器設備的製造商。

東京電子是日本IC和PFD設備最大製造商,也是世界第三大IC和PFD設備製造商。

東京電子在半導體及液晶顯示器的製造設備方面,TEL對全球電子產業的發展做出了巨大的貢獻。

TEL集團遍布全球,在日本、美國、歐洲、台灣、韓國及中國等地都建立了自己的網點。

東京電子的膜沉積和蝕刻設備營收在2016年漲幅超大大,這兩種設備是用在生產大容量的 3D存儲器。

公司在 2018 財年營業收入增長 37.96%,凈利潤增長 73.09%。

公司十分注重研發投入, 2018 財年的計劃研發費用約 1200 億日元(約合 80 億人民幣),設備投資 510 億日元(約合 30 億人民幣),

4、ASML(阿斯麥)

ASML (全稱: Advanced Semiconductor Material Lithography), 目前該全稱己不做為公司標識使用,公司的註冊標識為ASML Holding N.V),中文名稱為阿斯麥(中國大陸)、艾司摩爾(台灣)。

是總部設在荷蘭Veldhoven的全球最大的半導體設備製造商之一。

ASML為半導體生產商提供光刻機及相關服務,TWINSCAN系列是目前世界上精度最高,生產效率最高,應用最為廣泛的高端光刻機型。

目前全球絕大多數半導體生產廠商,都向ASML採購TWINSCAN機型,例如英特爾(Intel),三星(Samsung),海力士(Hynix),台積電(TSMC),中芯國際(SMIC)等。

目前,荷蘭ASML幾乎壟斷了高端領域的光刻機,市場份額高達80%。

全球只有ASML能夠生產EUV(極紫外光刻機),ASML新出的EUV光刻機可用於試產7nm製程,價格高達1億美元。

5、KLA-Tencor(科天)

KLA-Tencor於1997年4月通過 KLA Instruments (KLA)和 Tencor Instruments (Tencor)合併成立。

這兩家公司一直是半導體設備和良率管理軟體系統行業的長期領跑者。

在合併之前,兩家企業分别致力於檢測和量測領域,KLA專注於缺陷檢測解決方案, 而Tencor則致力於量測解決方案。

通過價值13億美元的一比一股票互換合併, KLA-Tencor 一舉成為行業頂尖的工藝管控供應商,並且獨家為客戶提供完整的良率管理系列產品及服務。

KLA-Tencor公司是工藝控管和良率管理解決方案業界領跑者, 與世界各地的客戶合作開發最先進的檢測和量測技術。

這些技術致力於半導體, LED等相關納米電子工業。

科磊自成立起便深耕於半導體前道檢測設備行業, 目前其產品種類已經覆蓋加工工藝環節的各類前道光學、電子束量檢測設備。

憑藉其檢測產品高效、精確的性能特點,科磊以52%的市場份額在前端檢測設備行業內具有絕對的龍頭地位。

6、Screen Semiconductor Solutions(迪恩士)

迪恩士(SCREEN)總部位於日本。

成立於1868年,於1975年開發出晶圓刻蝕機,正式開啟半導體設備製造之路。

在隨後的40多年裡,迪恩士專注於半導體製造設備,尤其是清洗設備的研發與推廣,開發出了適應於多種環境的各類清洗設備,並在半導體清洗的三個主要領域均獲得第一的市場占有率。

迪恩士有4個主要的業務方向,半導體製造設備、圖像情報處理機器、 液晶製造設備、印刷電路板設備。

半導體製造設備包括清潔、塗布和退火設備,半導體製造設備是該公司收入的主要部分,2017年占總收入的66.7%。

從2016年財年來看,半導體製造設備中,清洗設備收入占該業務收入的90%。

迪恩士不僅在半導體清洗設備,也在圖像情報處理機器和液晶製造設備行業擁有龍頭地位。

在圖像情報處理機器領域,該公司的脫機直接印版(CTP技術)設備市場占有率為31%,為全球第一位。

而在液晶製造設備領域, 液晶塗布機的市場占有率為71%,也為全球第一。

7、SEMES(細美事)

SEMES成立於1993年,是半導體和FPD兩個事業為主的綜合設備廠商,於2004年建立TFT LCD設備生產為目的的第三工廠。

Semes是韓國最大的預處理半導體設備與顯示器製造設備生產商,可稱其為韓國半導體設備廠第一大廠,主要生產清洗、光刻和封裝設備。

8、Hitachi High-Technologies(日立高新)

日立高新(Hitachi-High Technologies)成立於2001年,由Hitachi Ltd. Instruments Group和Semiconductor Manufacturing Equipments Group與Nissei Sangyo Co.,Ltd。

(一家專注於電子產品的公司)合併而成。

日立高新生產的設備包括:半導體製造設備,如晶片貼片機和蝕刻和檢測系統; 分析和臨床儀器,如電子顯微鏡和DNA測序儀; 平板顯示器(FPD),液晶顯示器(LCD)和硬碟的製造設備; 計量和檢查設備。

該公司還銷售鋼鐵,塑料,矽晶片,精細化學品,光學元件以及汽車相關設備和材料。

日立高科技在日本的銷售額占42%。

日立擁有該公司近52%的股份。

在半導體設備方面,日立高新主要生產沉積、刻蝕、檢測設備,以及封裝貼片設備等。

9、Hitachi Kokusai(日立國際電氣)

Hitachi Kokusai Electric於2000年10月成立,由三家日立集團公司合併而成,這些公司從事與視頻,無線通信和半導體製造設備相關的業務。

國際電氣:從事無線通信設備與半導體製作,1949年設立;日立電子:從事無線通信設備與映像設備製作,1948年設立;八木天線(Yagi Antenna),由發明八木天線的八木秀次博士於1952年成立,擁有天線專利。

該公司生產的半導體設備主要是熱處理設備。

10、Daifuku(大幅)

Daifuku(大福)(集團)公司自1937年成立以來,始終致力於物料搬運技術與設備的開發、研究。

大福(集團)有六項主要業務:製造業及流通產業;半導體、液晶製造業;汽車製造業;機場專用系統;洗車機及相關產品;電子產品。

其中在半導體、液晶製造業中,面向半導體、液晶製造業提供自動化潔凈室輸送、存儲系統。

該系統在生產智慧型手機和平板電腦所需的半導體和液晶顯示器的過程中起著至關重要的作用,目前已在北美、韓國、中國和台灣等國家和地區獲得了可觀的銷售業績。

11、ASM International(先域)

ASMI(ASM International)總部位於荷蘭阿爾默勒,在阿姆斯特丹泛歐證券交易所上市。

製造業設在新加坡和韓國。

ASMI主要生產光刻,沉積,離子注入和單晶圓外延設備,擅長是原子層沉積(ALD)和等離子體增強原子層沉積(PEALD)產品。

ASM是ASM International NV集團的一部分,該集團還包括ASM Pacific Technology(ASMPT)。

ASM是晶圓加工半導體工藝設備的領先供應商。

公司開創了許多工業中使用的晶圓加工技術的重要方面,包括光刻,沉積,離子注入和單晶圓外延。

近年來,公司將R&D的原子層沉積(ALD)和等離子體增強原子層沉積(PEALD)直接引入先進位造商的主流生產。

ASMP擁有大約2%的大部分所有權,是晶圓組裝和封裝以及表面貼裝技術的半導體工藝設備的領先供應商。

12、Nikon(尼康)

尼康成立於1917年,最早通過相機和光學技術發家,1980年開始半導體光刻設備研究,1986年推出第一款FPD光刻設備,如今業務線覆蓋範圍廣泛。

尼康既是半導體和面板光刻設備製造商,同時還生產護目鏡,眼科檢查設備,雙筒望遠鏡,顯微鏡,勘測器材等健康醫療和工業度量設備。

在FPD光刻方面,尼康則可發揮其比較優勢,尼康的機器範圍廣泛,從採用獨特的多鏡頭投影光學系統處理大型面板到製造智能設備中的中小型面板,提供多樣化的機器。

尼康雖然在晶片光刻技術上遠不及ASML,目前的產品還停留在ArF和KrF光源,且售價也遠低於ASML,和EUV更加難以相提並論。

但目前,其盈利性也很大程度上依賴光刻設備,尤其是晶片光刻設備,2017年光刻設備營收占比高達33%。

尼康的研發投入也持續增長,但其中對於光刻設備的投入比重卻在下降。

從2008年260億日元一路下降至2017年160億日元。

2017年半導體大陸TOP 10強:

註:中國本土廠商的半導體設備,只占全球市場份額的1~2%。

1、中電科:在離子注入機和CMP(化學機械拋光機)領域能力較強

北京中電科電子裝備有限公司,隸屬於中國電子科技集團(世界500強),是由電科裝備全資控股的國家火炬計劃重點高新技術企業,地處北京亦莊經濟技術開發區。

北京中電科致力於電子封裝成套裝備、自動化裝備、智能製造裝備的研發、製造與市場服務以及晶圓封裝代工服務。

公司自主研發的晶圓劃切設備、倒裝設備、分選設備、壓焊設備、晶圓減薄設備已廣泛應用於集成電路(IC)、半導體照明(LED)、微機電系統(MEMS)、分立器件、太陽能等國內龍頭封裝企業。

離子注入機和CMP(化學機械拋光機)領域能力較強:

>離子注入機:2016年推出的45-22nm低能大束流離子注入機在2017年也在中芯國際產線進行驗證,驗證通過後,將會批量出貨,進一步提高中芯國際產線離子注入機國產化率。

>CMP:2017年11月21日,電科裝備自主研發的200mmCMP商用機完成內部測試,發往中芯國際天津公司進行上線驗證,這是國產200mmCMP設備首次進入集成電路大生產線。

2、晶盛機電:在半導體級8英寸單晶爐領域已成功實現進口替代

浙江晶盛機電股份有限公司創建於2006年12月,是一家以「發展綠色智能高科技製造產業」為使命的高端半導體裝備和LED襯底材料製造的高新技術企業。

公司於2012年5月在創業板上市(證券代碼:300316),下屬9家子公司,3個研發中心,其中一個海外研發中心,擁有工業4.0方向的省級重點研究院、省級晶體裝備研究院等研究平台、博士後工作站。

公司以技術創新作為持續發展的動力源泉。

相繼開發出具有完全自主智慧財產權的全自動單晶爐、多晶鑄錠爐、區熔矽單晶爐、藍寶石爐,成功開發並銷售多種光伏智能化裝備,並布局高效光伏電池和組件等其他裝備的研發,努力打造光伏產業鏈裝備最齊全、技術最強的裝備龍頭企業;在半導體產業實現8-12英寸大矽片製造用晶體生長及核心加工裝備的國產化;成功掌握國際領先的超大尺寸300kg、450kg級藍寶石晶體生長技術,藍寶石材料業務具備較強的成本競爭力並逐步形成規模優勢;在工業4.0方向,公司為光伏產業、半導體產業和LED產業提供智能化工廠解決方案,滿足了客戶對「網絡化+智能製造」「機器換人」的生產技術需求。

3、捷佳偉創:產品主要應用於光伏產業

深圳市捷佳偉創新能源裝備股份有限公司是一家高速發展的新能源裝備研發製造企業。

2003年,公司的前身創立於廣東省深圳市。

公司在深圳坪山在建六萬多平方米工業園,在常州新北區自建三萬多平方米工業園。

公司員工總數超過1400人。

公司的產品包括單/多晶制絨設備、管式擴散氧化退火爐、濕法刻蝕設備、管式等離子體澱積爐、智能自動化設備等五大產品系列。

4、北方華創:在氧化爐、刻蝕機、薄膜沉積設備和清洗設備領域能力較強

北方華創科技集團股份有限公司是由北京七星華創電子股份有限公司和北京北方微電子基地設備工藝研究中心有限責任公司戰略重組而成,是目前國內集成電路高端工藝裝備的領先企業。

北方華創擁有半導體裝備、真空裝備、新能源鋰電裝備及精密元器件四個事業群,為半導體、新能源、新材料等領域提供解決方案。

公司現有四大產業製造基地,營銷服務體系覆蓋歐、美、亞等全球主要國家和地區。

氧化爐、刻蝕機、薄膜沉積設備和清洗設備領域能力較強

>氧化爐:2017年11月30日,北方華創下屬子公司北方華創微電子自主研發的12英寸立式氧化爐THEORISO302MoveIn長江存儲生產線,應用於3DNANDFlash製程,擴展了國產立式氧化爐的應用領域。

>刻蝕機:2016年研發出了14nm工藝的矽刻蝕機,目前正在中芯國際研發的14nm工藝上驗證使用。

2017年11月,研發的中國首台適用於8英寸晶圓的金屬刻蝕機成功搬入中芯國際的產線。

>薄膜沉積設備:28nm級別的PVD設備和單片退火設備領域實現了批量出貨,14nm級別的ALD,ALPVD,LPCVD,HMPVD等多種生產設備正在產線驗證中。

>清洗機:自研的12英寸單片清洗機產品主要應用於集成電路晶片製程,2017年8月7日成功收購Akrion公司後,北方華創微電子的清洗機產品線將得以補充,形成涵蓋應用於集成電路、先進封裝、功率器件、微機電系統和半導體照明等半導體領域的8-12英寸批式和單片清洗機產品線。

5、中微半導體:在介質刻蝕機、矽通孔刻蝕機以及LED用MOCVD領域能力較強

中微的設備用於創造世界上最為複雜、精密的技術:微小的納米器件為創新型產品提供智能和存儲功能,從而改善人類的生活、實現全球的可持續發展。

中微總部位於亞洲。

作為世界製造創新中心,亞洲具有得天獨厚的優勢:極具活力的供應鏈大大提高了中微的運營效率。

介質刻蝕機、矽通孔刻蝕機以及LED用MOCVD領域能力較強

>介質刻蝕機:目前已經可以做到22nm及其以下,14nm也在產線進行驗證,同時在推進5nm的聯合研究。

>矽通孔刻蝕機:主要用於集成電路晶片的TSV先進封裝。

>MOCVD:公司的MOCVD達到世界先進水平,實現了對美國的VEECO和德國的愛思強產品的進口替代,客戶為三安光電等led晶片廠商。

截止2017年10月,其MOCVD設備PrismoA7機型出貨量已突破100台。

6、上海微電子

上海微電子裝備(集團)股份有限公司(簡稱SMEE)主要致力於半導體裝備、泛半導體裝備、高端智能裝備的開發、設計、製造、銷售及技術服務。

公司設備廣泛應用於集成電路前道、先進封裝、FPD面板、MEMS、LED、Power Devices等製造領域。

國內唯一的一家從事光刻機研發製造的公司。

目前製造用光刻機只能做到90nm,與主流65nm以下還有較大差距。

不過,封裝使用的光刻機,達到1-2微米就可以使用,上海微電子研發製造的500系列步進投影光刻機,面向IC後道封裝和MEMS/NEMS製造領域,國內市場占有率達80%以上。

7、北京京運通:產品主要應用於光伏產業

北京京運通科技股份有限公司成立於2002年8月8日,是一家以高端裝備製造、新材料、新能源發電和節能環保四大產業綜合發展的集團化企業,主導產品包括單晶矽生長爐、多晶矽鑄錠爐、區熔爐等光伏及半導體設備,多晶矽錠及矽片、直拉單晶矽棒及矽片、區熔單晶矽棒及矽片等光伏產品,光伏發電和風力發電等新能源發電項目及蜂窩式中低溫SCR煙氣脫硝催化劑。

公司於2011年9月8日在上海證券交易所成功上市,股票簡稱:京運通,股票代碼:601908。

截至2017年末,公司總資產134.51億元人民幣,凈資產65.86億元人民幣。

2017年度,公司實現營業收入19.17億元人民幣,實現凈利潤3.70億元人民幣。

8、天通吉成:產品主要應用於光伏產業

天通吉成機器技術有限公司是天通控股股份有限公司(證券代碼: 600330)控股的從事機械裝備整機製造的技術密集型中外合資集團化、無區域性企業。

9、盛美半導體

盛美半導體設備(上海)有限公司成立於2005年5月,是一家註冊於中國上海張江高科技園區的、具備世界領先技術的半導體設備製造商,公司在華投資逾三億。

是中國一家專注於集成電路製造產業中電鍍銅設備、拋銅設備、單晶圓清洗設備的研發及生產的公司。

在清洗機領域能力較強。

公司的SAPS技術最高可以應用於65nm製程的矽片清洗;TEBO技術可以實現對FinFET,DRAM,3DNAND,實現覆蓋16nm-19nm的製程,產品已經批量應用於上海華力微電子的產線。

此外,公司2017年5月在合肥投資3000萬美元建立研發中心,與合肥長鑫和兆易創新一起開發DRAM技術。

10、格蘭達

格蘭達技術(深圳)有限公司是格蘭達科技集團的研發中心和製造中心。

配備了數百台精密數控加工中心(MAZAK, MAKINO, FANUC, MORISEIKI, AMADA等),形成了研發、設計、生產、製造(模具、鈑金、壓鑄、塗裝及裝配)等全套集成能力,成為世界一流的半導體、IT、OA、光學、通訊等領域客戶的供應商。

目前已取得多項自主智慧財產權和專利。

格蘭達的產品和解決方案已經應用於數十家全球知名半導體公司和世界500強企業。

總結

全球半導體設備十強裡面,只有美日荷三個國家的企業入圍。

2016年前五大廠商應用材料、ASML、LamResearch、TokyoElectron和KLA-Tencor合計市場份額高達92%,其中應用材料AMAT市場占有率為24%。

荷蘭ASML幾乎壟斷了高端領域的光刻機,市場份額高達80%。

ASML新出的EUV光刻機可用於試產7nm製程,價格高達1億美元。

AMAT在CVD設備和PVD設備領域都保持領先,LamResearch是刻蝕機設備領域龍頭。

隨著我國半導體產業持續快速發展,國內半導體設備業呈現出較快發展的勢頭。

在國家科技重大專項以及各地方政府、科技創新專項的大力支持下,國產半導體設備銷售快速穩步增長,多種產品實現從無到有的突破,甚至有些已經通過考核進入批量生產,在國內集成電路大生產線上運行使用。


請為這篇文章評分?


相關文章 

一篇讀懂國產半導體設備現狀!

來源:國君電子 王聰/張天聞國君機械 黃琨/韋鈺核心要點: 半導體產業之風已至,政策環境利好國內半導體設備企業。在全球半導體產業向大陸轉移的過程中,半導體設備國產化具有重要戰略意義

強芯之夢010

上期我們大體介紹了中國大陸地區半導體設備的行業現狀,那麼本期我們將從公司和細分設備的角度來進一步介紹下我國大陸地區半導體設備行業的發展情況。首先我們從設備角度看看半導體製造過程的那些核心設備我國...

半導體設備現狀分析,國產水平究竟如何?

日前,一則有關中美兩國將限制半導體設備的流言在行業內流傳,在現在兩國貿易戰角力的敏感時刻,任何的風吹草動,都刺激了雙方的神經。但拋開這個流言的真假不說,這樣的內容,勾起了筆者對國內外半導體設備現...

中微:中國半導體裝備國產化的先鋒

文/魏志強 武鵬 編者按:本文所報導的中微半導體設備(上海)有限公司,是我國在集成電路製造設備領域的一個傑出企業。中微多年專攻等離子刻蝕設備的研製,緊跟國際技術前進步伐,現已躋身國際一流行列,在...