中國晶片產業深度調查|晶片市場需求猛增,哪些企業最受益?

文章推薦指數: 80 %
投票人數:10人


中興事件的爆發就像一把利刃剜出中國晶片產業的短板,巨石砸向深潭激起的漣漪正一波一波地向外擴散。

晶片產業從來沒有像今天這樣,受到媒體、公眾甚至國家高層如此迫切的重視。


現實總是如此殘酷,在這一關係國家經濟命脈的高科技產業,中國依舊沒有什麼話語權。

2017年,中國集成電路進口額達到了2601.4億美元,同比增長14.6%。

有分析資料顯示,在存儲晶片、伺服器、個人電腦、可編程邏輯設備等領域,中國晶片占有率竟然為0。

由於技術門檻高、投資規模巨大、高端人才稀缺,作為尖端產業,中國集成電路企業與世界巨頭相比還有相當大的差距。

不過變化正在發生,中興事件的警鐘下,政策層面已經開始落實,各地方政府及社會資本也在積極推進,中國半導體產業迎來了爆髮式發展的前夜。

一批優秀的半導體企業脫穎而出,IC設計、晶圓代工、封裝測試、半導體材料、半導體專用設備等細分領域湧現出領頭企業,部分企業甚至成為細分領域的世界翹楚。

這些企業代表了中國集成電路產業崛起的雄心。

與此同時,以大基金為代表的政府引導基金不斷湧現,社會資本不斷湧入,一些優秀的投資人正通過資本力量為中國晶片產業注入一股新鮮血液。

在全球半導體產業進入巨頭壟斷,垂直整合不斷頻現的時期,中國半導體企業如何走出自己的道路?在各國政府對中資企業實施技術封鎖的時刻,中國企業如何自主創新?面對超高規模的資本投入,半導體企業如何有效結合資本手段,推動產業發展?

在中國半導體崛起的背景下,《英才》雜誌重磅推出專題策劃,梳理中國IC設計、晶圓代工、封裝測試、半導體設備、材料產業鏈優秀企業,把脈產業發展趨勢,挖掘其中的投資機遇。


半導體設備篇

晶片設備 星火燎原



技術門檻高,占據上游,半導體生產設備和材料在整個產業鏈中的地位不容小覷。

根據國際半導體產業協會SEMI的統計,2016年全球半導體設備出貨額412億美元,全球半導體材料出貨額443億美元,共計800多億美元。

受益於中國市場需求增加猛增,2017年半導體設備熱度不減,全年出貨金額達560億美元,同比大幅增長40%,目前該領域中國仍然主要依靠進口。


差距懸殊

從下表可以看出,全球半導體設備企業前十名的營收門檻為8.9億美元。

前十名企業均來自美國、荷蘭以及日本。

回到國內,中國2016年營收最高的半導體設備公司收入9.08億元(1.37億美元),儘管2017年的具體數字尚未公布,但可以看出,中國企業離世界十強的門檻相去甚遠。

不過中國半導體製造設備增速很快。

前十強的增速快於整體水平,市場集中度在不斷提高。

2017年半導體設備板塊實現營收52.47億元,同比增長56.05%,2018年一季度實現營收13.60億元,同比增長46.08%,繼續保持高速增長趨勢。

從總體規模來看,全球市場約為412億美元,中國設備廠家全部加起來才8.63億美元,占比僅為2%左右,可見進口替代空間巨大。


哪些企業最受益?

中國半導體設備企業中最值得關注的有以下幾家企業。

北方華創(002371.SZ)

北方華創是我國最大規模的專業半導體設備企業,2017全年實現營業總收入22.23億,同比增37.01%,凈利潤1.26億元,同比增長35.21%。

其中,半導體設備收入占總體營收的51.59%,增長速度超過40%。

北方華創產品涉及氧化爐、刻蝕機和薄膜沉積三類。

長江存儲3D NAND FLASH產線的氧化爐設備就採用北方華創的產品,該款氧化爐在進入長江存儲產線之前,已經批量應用於中芯國際、上海華力晶片生產線。

長江存儲還購買了北方華創的刻蝕機和PVD機台。

北方華創在矽刻蝕機領域實現突破,2016年研發出了14nm工藝的矽刻蝕機。

目前中芯國際在研發的14nm工藝,就在驗證使用北方華創的矽刻蝕機。

北方華創在PVD設備和單片退火設備領域也實現了批量出貨,目前主要在28nm級別。

在薄膜沉積設備領域,北方華創進展較快,多種14nm的生產設備也在產線驗證中。

北方華創還有第四種關鍵設備——清洗機。

2017年8月7日,北方華創花費1500萬美元收購了美國Akrion公司。

後者是一家專注於矽片清洗設備業務的公司。

中電科電子裝備公司

中電科電子裝備公司,2016年銷售收入9.08億元,中電科裝備隸屬於中國電子科技集團。

電科裝備目前是國內唯一集研發、製造、服務於一體的離子注入機供應商,已經為中芯國際完成了將近200萬片的生產量。

2017年11月21日,電科裝備自主研發的200mmCMP商用機完成內部測試,發往中芯國際天津公司進行上線驗證。

實現了我國在此領域零的突破。

除了集成電路晶圓製造兩大關鍵設備以外,電科裝備還是國內主力的集成電路封裝設備製造商,其封裝設備累計銷售2000餘台套,已經批量應用於長電科技、通富微電、蘇州晶方等國內知名封測企業。

晶盛機電(300316.SZ)

晶盛機電主要營收來自單晶爐為核心的單晶矽生產設備,主要應用於光伏產業。

據悉,2017年以來接到的總半導體設備訂單才剛剛達到1.3億元。

不過,其獲得了全球十大矽片供應商之一台灣合晶科技8000萬元的設備訂單。

2017年10月31日,晶盛機電與中環股份以及無錫市政府簽訂合作協議,計劃在江蘇宜興建設集成電路用大矽片生產與製造項目,總投資30億美元,第一期投資15億美元。

國內極度缺乏大矽片項目,處於被日韓台卡脖子的狀態,日本信越、日本SUMCO、台灣環球、德國Siltronic、韓國SKSiltronic五家壟斷了98%的份額,其中日本的兩家占了全球60%。

因此晶盛機電該項目值得後續關注。

中微半導體

2017年中微半導體實現銷售額11億元。

產品主要覆蓋三大領域,一是LED晶片MOCVD機台。

中微半導體在2017年10月宣布,其MOCVD設備Prismo A7機型出貨量已突破100台,邁向重要里程碑,該款MOCVD在2016年才推向市場,由於持續接到新訂單,中微預計2017年底可望出貨約120台MOCVD設備。

整個中國大陸市場2017年預計新增的MOCVD大約為200台,按照中微半導體的出貨量,國內市場占有率可以達到30%-40%,甚至以上,這是非常不錯的成績。

第二個產品是介質刻蝕機,用於集成電路晶片製造,目前已經可以做到22nm及以下,中微半導體的14nm也在產線進行驗證,同時在推進5nm的聯合研究。

第三是矽通孔刻蝕設備,主要用於集成電路晶片的先進封裝。

中微處於比較好的發展態勢,MOCVD機台已經受住了量產的考驗,將會迎來大批量出貨時期,其多年來集中力量攻關的等離子體介質刻蝕機,已經在國際大厂部署多年。

上海微電子公司

在光刻機領域,上海微電子公司2016年半導體設備收入為2.9億元,該公司具備研發和製造光刻機的能力。

也是國內唯一從事光刻機研發製造的公司,不過目前只能做到90nm。

業界主要製程工藝,基本已經在65nm以下,上海微電子也在進行65nm製程工藝光刻機的研發。

目前,荷蘭ASML已經占據光刻機領域大約80%的市場份額,壟斷了高端光刻機市場,日本尼康在高端光刻機上已經徹底敗退, 英特爾、台積電、三星、格羅方德、聯電以及中芯國際等晶圓廠的光刻機目前基本來自ASML。

最先進的EUV光刻機全球僅有ASML能夠生產,ASML在2016下半年出售的兩台EUV光刻機,單價都超過1億美元,而落後EUV一代的ArF光刻機平均售價也在四五千萬歐元左右。

可以說光刻機是集成電路製造領域最後的皇冠。

以上幾家生產設備廠家,客戶集中在國內,客戶包括中芯國際、華力微電子等廠家。

中國集成電路產業的發展,直接影響甚至決定著上游國產設備廠家的發展。

中國電科、北方華創、中微半導體、上海微電子、盛美半導體組成的中國半導體設備群體,成才空間巨大,星星之火,可以燎原。



請為這篇文章評分?


相關文章 

中國半導體設備產業正經歷 「四大挑戰」

中國國內已經形成完備的半導體設備產業,在封測和LED設備領域,國產替代化比例逐漸升高;但在技術要求苛刻的晶圓製造領域,目前還主要依賴進口設備。高端製造設備的乏力與中國高速增長的市場需求不相匹配,...

半導體設備迎來歷史性機遇!

導讀:半導體設備迎來歷史機遇,預計2018-2019是行業增長高峰期。如果中國的集成電路產業想要超越國外水平,半導體設備技術必須提高。目前國內龍頭企業盈利能力不強,但戰略意義重大,重點推薦北方華...