硬核科技代表-半導體設備重裝上陣

文章推薦指數: 80 %
投票人數:10人

溫馨提示:如需原文檔,可在PC端登陸未來智庫官網(www.vzkoo.com)搜索下載本文檔。

1.投資邏輯:行業自身成長+中國廠商進口替代

半導體設備行業處於半導體行業中上游,屬於晶片製造廠和封測廠的供應商。

整體行業景氣度伴隨著半導體周期而波動,雖然周期性比較明顯,但如果從拉長時間軸看,半導體設備整體產值是向上的。

全球2018市場規模達到620億美金,同比增長28.57%,預計未來七年複合成長8%-10%

投資邏輯之一:行業自身成長

半導體設備整體需求來源於泛半導體領域,即集成電路、LED晶片等子方向均對半導體設備有不同方面的需求。

1.1.集成電路設備成長動力:先進位程+新晶圓廠投產

集成電路設備的需求1:先進位程的推進。

集成電路行業的發展史就是晶片先進位程的發展歷史。

從1960s開始集成電路商用化以來,製程從10um到最新的7nm,大約基本每5年左右半導體製程提升一代,每一代的性能與功耗都會大幅度提升。

製程提升的動力就是下游電子行業的對於算力的需求的不斷提高。

集成電路新的製程工藝需求更新的半導體設備。

但需要注意的是即便製程更新換代,並不是所有步驟的機器都需要更換,只有最關鍵的步驟才需要更新。

以最貴的設備極紫外光刻機(EUV)為例說明先進位程對於半導體設備的拉動:

晶片在從空白矽片到填滿上億個電晶體的過程中,需要經過很多個步驟,而其中很多步驟都需要經過光刻工藝。

而光刻機就是實施光刻的關鍵。

在14nm工藝及以上製程,193nm沉浸式光刻機可以滿足需求。

但到了14nm製程以後,傳統的光刻機遇到技術瓶頸,需要採用極紫外光刻機。

極紫外光刻機(EUV)以波長為13.5納米的極紫外光作為光源的光刻技術,目標市場是先進位程7nm工藝。

而機器單價也升到1億歐元。

結論:如果摩爾定律沒有終結,那么半導體設備的需求仍會增長,從目前發展來看,到2025年內摩爾定律仍會延續,半導體設備還有很大的發展空間。

集成電路設備的需求2:晶圓廠新廠建設速度加快,大部分在中國

半導體晶圓廠新開工數量也直接影響設備的需求。

從2017年開始,亞洲國家開始大面積投入晶圓廠建設,主要中國30家、韓國30家、台灣20家左右,一個廠建設周期約為2-3年,對應整體對於半導體設備需求約為200億美金,對於半導體設備需求明顯。

從下圖可以看出,半導體銷售額中中國占比逐步提高,從2013年的15%提升到2018年的27%,由於晶圓廠占比的原因,預計未來中國市場占設備領域市場份額仍會穩步增加。

1.2.LED晶片行業設備成長動力:LED晶片應用擴大+行業生產效率提升

泛半導體領域第二個對設備需求較大的是LED晶片行業。

LED產業鏈包括襯底製作、外延生長、晶片製造、封裝和應用五個主要環節,其中LED外延生長和製造環節是LED行業關鍵步驟。

目前外延片製造主流設備為MOCVD(金屬有機化學氣相澱積法)。

以下以MOCVD為例分析LED對於設備的拉動:

LED行業對於設備的需求由兩方面拉動:1)擴產2)技術更新

首先,下游LED晶片廠商擴產直接拉動設備商需求。

生產LED晶片主要設備為MOCVD。

由下圖可看出,全球LED晶片產值在2010年、2014年、2017年左右同比增速達到波峰,MOCVD出貨量相對提前一些,但也符合LED晶片產值波動,在以上三個年份亦為周期頂點。

其次,技術更新對於生產成本的優化至關重要。

LED晶片材料帶動成本下降的空間較少,主要靠技術進步驅動成本下降,故此各大LED廠商均大力投入技術研發。

LED行業是重資產行業,設備折舊約占晶片成本的30%,從歷史來看,LED晶片單位價格持續降低,這與設備更新是分不開的。

對比MOCVD設備技術參數也可以看出,上市時間相隔8年的設備生產效率提升了140%。

LED晶片廠商如果要保持自己的成本競爭力,必須不斷投資新設備。

第三,下一代顯示技術的推動對於新設備有需求。

市場上對室內顯示產品顯示效果的不斷追求,LED產品不斷往更小的間距發展。

追求高解析度已經成為行業發展和進步的一個重要方向。

在繼普通LED顯示屏以後,小間距顯示屏(間距250um),MiniLED(間距100um),MicroLED(間距小於100um)將逐步走上商業舞台。

從先後順序來看,2019年消費者有望最先看到搭載MiniLED背光的終端產品。

MiniLED背光應用所採用的LED顆數用量要比傳統LED背光多50倍以上,從筆記本電腦約8,000顆,到65英寸電視用量約10萬到30萬顆。

下游應用主要以智慧型手機、電視等消費電子顯示設備為主。

與MiniLED競爭的主要對手是OLED。

若MiniLED(預計2019底)與MicroLED開始普及,對於LED晶片的絕對產量需求將大大提高。

同時也必將提高相關工藝設備需求,如薄膜工藝設備MOCVD。

投資邏輯之二:中國廠商進口替代空間廣闊

半導體設備整體市場呈現兩個特點:1)規模增長穩定。

2)集中度進一步提高。

目前全球半導體設備市場巨大,2018年半導體整體設備市場約為620億美金。

主要廠商由AppliedMaterials(美)、ASML(荷蘭)、東京電子、KLA(美)等國外廠商占據。

半導體設備廠商集中度進一步提高。

集中度提高的原因在於:

1)下游foundry廠集中度提高。

從下表可以看出,前八名晶圓廠2017年市占率為88%,比2015年提高了一個百分點。

下遊客戶的集中提提高勢必造成供應鏈壓縮。

2)集成電路設備研發投入高,非頭部企業難以承受。

半導體製程進入28nm以後,需要的設備複雜度呈指數提升。

主流半導體設備企業的研發費用以億美金來計。

中國設備廠商發展空間大。

由於中國半導體公司起步時間較晚,晶圓製造環節薄弱(中芯國際僅僅占全球製造不到5%市場份額),導致晶圓廠相關設備配套公司發展較慢,目前在設備領域市占率極低(小於3%)。

但這也正是中國設備公司的發展機會。

投資邏輯之三:科創板主打硬核科技,半導體設備公司直接受益

科創板是落實創新驅動和科技強國戰略、推動高質量發展的重大改革舉措,重點支持半導體、新一代信息技術、高端裝備、新能源、節能環保以及生物醫藥等高新技術產業和戰略性新興產業,推動網際網路、大數據、雲計算、人工智慧和製造業深度融合。

半導體設備作為硬核科技的代表,長期來看公司的發展離不開資本市場的支持,短期也對板塊的估值有提升效應。

2.半導體主流設備分析

下面我們將選擇製造環節主流設備中我國公司部分實現進口替代或有望實現進口替代加以分析。

半導體製造相關設備

半導體製造環節的設備種類繁多,綜合了物理、化學、工程、材料等一系列學科,難度很高。

下圖為半導體製造主要流程:

1.晶圓清洗(waferCleaning)

作用:晶圓上極小的灰塵也會影響集成電路的功能。

故此在正式製造晶片之前與晶片製造過程中,需要去除的污染主要包括顆粒、化學殘留物等。

涉及到的有物理清洗(超聲震動、刷洗等)與化學清洗(清洗液)法

未來發展趨勢:製造晶片過程中清洗晶圓是重要的步驟,一般來說清洗步驟占全部工藝的30%。

隨著先進位程的推進,需要清洗的步驟越來越多,比如20nm的DRAM工藝需要多達200個清洗步驟。

3D晶片也會帶動清洗設備需求。

隨著3D晶片越來越多,比如3DNAND存儲器,新的晶片架構會對清洗設備有更高的要求。

並且隨著12寸矽片的普及,矽片清洗要求越來越高,工藝複雜度也越大。

清洗直接影響良率,良率對於晶圓廠利潤影響很大。

為了提高良率,清洗步驟數量需要提高。

例如對於10萬片月產能的DRAM廠,每一個百分點的良率會影響每年3000萬~5000萬美金的凈利潤。

設備:槽式清洗機(比例逐步減少)、單圓片清洗機(逐步代替槽式清洗機)

國外廠商:迪恩士(日)、東京電子(日)、LamResearch(美)。

以上三家市占率超過70%。

中國廠商:盛美半導體。

2.氧化(Oxidation)

作用:在晶圓上生成一層薄二氧化物層(二氧化矽),用於絕緣或者後續離子注入。

矽材料在形成二氧化矽的過程中有天生的優勢,這也是矽能大面積用於晶片材料的一個原因。

目前我國設備主要用於小於150mm的低端製造領域,300mm的產線主要依賴進口。

國外廠商:東京電子、日立國際

中國廠商:北方華創、中電科48所。

3.薄膜沉積(FilmDeposition)——占晶圓製造20%

作用:使某些特定材料以一層薄膜的形式附著於襯底的過程叫做薄膜沉積。

可分為物理氣相沉積(PVD,利用蒸發或者離子轟擊濺射形成薄膜)與化學氣相沉積(CVD,通過各種反應氣體進行化學反應形成薄膜)。

MOCVD系統最關鍵的問題就是保證材料生長的均勻性和重複性。

薄膜沉積是半導體製造的重點設備,設備複雜度高,使用率高。

一台先進的薄膜沉積設備售價可達千萬人民幣以上。

行業發展趨勢:薄膜沉積設備的創新伴隨著半導體製程的發展。

由於不同線寬工藝的改進,薄膜沉積設備也在不斷更新。

例如,在亞微米時代,主要採用低壓化學氣相沉積;到了90nm時代,等離子氣相沉積逐步得到應用;28nm及以下時代,HKMG(HighKMetalGate)工藝逐步普及,線寬變小,薄膜變的更薄,原子層沉積(AtomicLayerDpostion)開始普及。

2018年全球薄膜沉積市場規模約為120億美金,未來5年有望以CAGR=10%的速度增長。

PVD領域,應用材料市占率超過70%,優勢明顯;CVD領域,東京電子占據月38%的市場份額,後面依次是應用材料與拉姆。

中國廠商在金屬有機化學氣相沉積設備(MOCVD,MetalOrganicChemicalVaporDeposition)領域有所突破。

MOCVD主要用於Ⅲ-V族(GaAs、GaN)化合物半導體材料,故此在光電子領域有較多應用。

作為生產LED晶片的關鍵設備,MOCVD設備市場一直為歐美企業所壟斷,我國廠商中微半導體在MOCVD上自主研發,突破國外技術封鎖,估計中微半導體2018年藍綠光MOCVD出貨量占比超過60%。

應用:邏輯電路、存儲器、先進封裝、LED、微機電系統MEMS、功率半導體、平板顯示等。

國外廠商:應用材料(美)、LAMresearch(美)、ASML(荷)、東京電子(日)、Aixtron(德)、Veeco(美)

中國廠商:中微半導體、北方華創、瀋陽拓荊、中晟光電

4.曝光(Exposure)——占晶圓製造20%

作用:使用特定波長的光(例如極紫外光)對覆蓋襯底的光刻膠進行選擇性地照射。

光刻膠中的感光劑會發生光化學反應,從而使被照射區域(感光區域)化學成分發生變化。

這些化學成分發生變化的區域,在下一步的能夠溶解於特定的顯影液中。

設備:光刻機

行業發展趨勢:光刻IC製造環節核心工藝,也是技術難度最高的一步。

衡量光刻機的參數主要有解析度和產出率。

光刻機的發展歷史也就是就集成電路製程的發展史。

整體行業市場規模預計120億美金,在最新光刻機市場中,ASML一家獨大,其他廠商逐步掉隊,中國廠商在這方面技術儲備較弱,暫時沒法進入先進位程領域。

  • l 接觸式光刻機:20世紀60年代開始應用,用於微米級製程
  • l 投影光刻機:20世紀60年代開始逐步代替接觸式光刻機。

  • l 步進掃描光刻機:20世紀90年代開始應用,一直沿用至今。

    其中浸沒式光刻機以及極紫外光刻機(EUV)也是步進式光刻機的一種。

  • l 浸沒式光刻機:應用於45nm以主要下工藝,即在鏡頭與晶圓中間充滿液體,提升成像系統有效數值孔徑。

  • l 極紫外光刻機:主要應用於7nm以下製程,採用10-14nm極紫外光作為曝光光源。

    是最先進的光刻機,單價超過1億歐元,目前只有ASML公司提供,年出貨量約20台左右。

國外廠商:ASML(荷)、尼康(日)

中國廠商:上海微電子

5.顯影(Development)

作用:在曝光過程結束後加入顯影液,上一步被紫外光照射的區域會溶解於顯影液中。

這一步完成後,光刻膠層中的圖形就可以顯現出來。

主要需要顯影液。

6.刻蝕(Etch)——占晶圓製造環節25%

作用:用物理或者化學方法腐蝕處理掉上一步中暴露的區域。

主要分為干法刻蝕與是濕法刻蝕兩種。

  • l 干法刻蝕:一般指用等離子體轟擊介質表面進行刻蝕,故又稱為等離子體刻蝕。

    按照被刻蝕材料的種類分為矽刻蝕、金屬刻蝕、和電解質刻蝕。

  • l 濕法刻蝕:指用化學液體進行刻蝕,例如氫氟酸。

設備:刻蝕機

行業發展趨勢:由於集成電路架構越來越複雜,對於刻蝕工藝的需求越來越高。

故此刻蝕是各種設備中用途極為廣泛,並且複雜度較高的設備。

主要以美國、日本廠商設備為主。

主要應用為邏輯電路、3Dnand、先進封裝(矽通孔TSV)。

由於精度的關係,干法刻蝕逐步代替濕法刻蝕。

干法刻蝕目前占設備總銷售額的比重約為20%。

2018年目前刻蝕設備市場規模行業現在約為155億美金,集成電路複雜度逐步提高,預計未來5年刻蝕的市場增速將超過半導體設備平均增速,或將達到15%。

刻蝕領域最新設備為原子層刻蝕(ALE,AtomicLevelEtch),即用於去除超薄層的刻蝕技術,主要拉動力來源於晶片小型化以及3D晶片結構的需求。

國外廠商:LamResearch(美)、東京電子(日)、Hitachi(日)

中國廠商:中微半導體(介質刻蝕機、矽通孔刻蝕機)、北方華創(矽刻蝕機)

7.離子注入(IonImplantation)

作用:用具有一定能量的離子高速轟擊矽襯底並注入,使得襯底具有半導體特性。

行業概況:目前主要依賴進口

設備:離子注入機

國外廠商:SPIRE(美)、AEA(英)等

中國廠商:中電科48所、中信科電子裝備集團

8.化學機械拋光(ChemicalMechanicalPolisher)

作用:利用研磨液與研磨墊來拋光晶圓表面。

行業概況:國外廠商處於壟斷地位,美國ASML公司約占60%市場份額。

設備較為複雜,一般來說一台CMP售價約300萬-400萬美金。

國外廠商:應用材料(美)、Ebara(日)等

中國廠商:天津華海清科、中電45所

工藝檢測與封測相關設備

晶圓製造完工後,將進入工藝檢測設與封裝測試環節。

工藝檢測行業趨勢:工藝檢測設備是保證晶片良率的關鍵。

晶片架構的複雜度提升以及3D晶片結構的根本性變化,對工藝檢測設備提出了更高的要求。

估計工藝檢測設備占前端設備的10%左右,絕大部分市場被國外公司壟斷。

涉及到的主要設備有:電子顯微鏡、薄膜檢測、晶圓缺陷檢測、X射線檢測、應力監測

後端封測行業趨勢:相對於前端製造環節,後端封測設備複雜度略低,下圖為半導體封測主要流程,主要分為探針檢測、切割、晶片鍵合、引線鍵合、塑封、測試等主要步驟。

9.探針檢測(waferProbe)

作用:利用探針測試台與探針測試卡來測試晶圓上每一個晶片,以測試晶片的電氣特性。

一般包括探針測試台,探針測試機,探針測試卡三部分。

10.切割機(Dicing)

作用:把晶圓切割成一粒粒的晶片。

行業概況:切割機主要分為兩種,金剛石砂輪切割機與雷射切割機。

除了集成電路行業,切割機還廣泛應用於LED、面板、光伏電池等行業。

在這個領域國外廠商占領了絕大部分市場份額。

國外廠商:Disco(日)、東京精密(日)

中國廠商:金剛石切割機(中電科裝備集團、中電45所)、雷射切割機(大族雷射、華工雷射)

11.鍵合(Bonding)

作用:鍵合主要有晶片鍵合和引線鍵合兩種。

這兩種設備在封裝廠屬於比較常用的設備,大多數封裝工藝均會採用這兩種設備。

在這個領域國外廠商幾乎占領了全部市場份額。

晶片鍵合(DieBonding):把裸片鍵合在基板(substrate)上,做後續封裝。

引線鍵合(WireBonding):用導線連接晶片與封裝引腳。

晶片鍵合國外廠商:ASM(美)、BESI(荷蘭)。

引線鍵合國外廠商:ASM、K&S。

中國廠商:暫無

12.測試機

作用:對晶片施加輸入信號,測試晶片功能和性能的有效性。

行業概況:隨著集成電路參數項目越來越多,對測試成本的要求越來越高,因此,市場對測試機功能模塊的需求、測試速度與測試精度要求越來越高(微伏、微安級精度)。

一般來說,在設計驗證和成品測試環節,測試機需要和分選機配合使用;在晶圓檢測環節,測試機需要和探針台配合使用。

在我國集成電路產業鏈結構中,封裝測試環節占比最高,對測試機和分選機的需求量較大,但設備主要依賴進口。

國外廠商:泰瑞達(Teradyne)(美)、愛德萬(Advantest)(日)、科利登(Xcerra)(美)

中國廠商:長川科技

3.行業內重點公司簡析

3.1.中微半導體——硬核科技的代表

中微半導體設備(上海)有限公司成立於2004年8月,主營業務為半導體製造設備,預計將於2019年在科創板上市。

我們認為中微半導體是為數不多的可以在集成電路設備細分領域和國外一流公司同台競爭的公司,全球超過1100個專利,是國產硬核科技公司的代表。

核心競爭力:優秀的管理團隊+核心技術自主可控

優秀的管理團隊。

公司的董事長兼總裁、創始人尹志堯博士在矽谷有20多年的行業及經驗積累,尹志堯博士曾在應用材料公司任職13年,曾擔任公司副總裁、等離子體刻蝕設備產品事業群總經理、亞洲區採購副總裁、應用材料亞洲首席技術官等。

公司核心創始團隊也有矽谷海外工作經驗。

中微半導體憑藉技術研發實力,不斷研發新的產品,並逐步占領市場。

在這背後,優秀的管理團隊是公司能夠持:續不斷推出新產品的原因。

核心團隊成員還包括:

  • l 杜志游博士:現任中微資深副總裁,主導制定了所有項目運營流程,包括公司生產運營策略、全球物料運營基礎設施、信息技術系統和ERP(企業資源計劃)項目執行等。

    加入中微之前,杜志游博士曾擔任梅特勒-托利多儀器(上海)有限公司總經理、寶鋼普萊克斯實用氣體有限公司總經理。

  • l 朱新萍:副總裁暨大中華事業群總經理。

    加入中微之前,他曾擔任台灣應用材料公司(AppliedMaterials)高級經理一職。

    之前他曾在華邦電子(Winbond)和台灣世大集成電路(WSMC)工作,主要負責程序開發和產量提高。

  • l 陳偉文:中微財務長。

    在加入中微公司之前,陳偉文先生在一家納斯達克上市公司、同時也是世界上最大的太陽能公司之一——阿特斯太陽能有限公司任財務長。

  • l 倪圖強博士:倪圖強博士在中微擔任副總裁暨刻蝕設備產品事業群副總經理。

    他主要領導用於高端電介質刻蝕的PrimoD-RIE和PrimoAD-RIE設備的研發和項目管理。

    加入中微之前,他曾在LamResearch公司新產品部門擔任主要技術專家,並是Lam2300系列刻蝕產品的發明者之一。

核心技術自主可控。

公司成立之初就有合作的律師事務所專注IP信息收集與保護。

與美國應用材料官司和解、與Lam公司在台灣官司勝訴、與Veeco官司和解等,這些有關智慧財產權的國際訴訟無一失敗的前提是紮實的自主智慧財產權。

美國政府於2015年將刻蝕設備從出口管制清單里刪除就是因為AMEC能夠生產出具有自主智慧財產權的刻蝕設備,並進入國際一流晶圓廠。

2017年美國PCAST(美國總統科學技術諮詢委員會)給總統的報告裡面提到的唯一一家中國公司是中微半導體。

公司主打產品有:

  • l 刻蝕機:中微的刻蝕設備是半導體製造前端多用的介質等離子體刻蝕與矽刻蝕。

    等離子體刻蝕機已經全面進入亞洲先進主流生產線,用以加工65納米,40納米以及28納米以下製程的半導體器件。

  • l MOCVD:公司已經成功研發MOCVD並進入上游LED核心廠商,2018年一季度累計出貨量已經達到100腔。

    我們預計公司2018年在藍綠光MOCVD的出貨量超過60%。

下遊客戶:

  • l 台積電、中芯國際等一流晶圓廠

投資邏輯:

半導體設備投資期限需長久:

1)半導體製造設備是技術難度最高的技術設備。

縱觀國外一流設備大廠,很多是伴隨半導體行業發展而成長起來,自身歷史超過50年以上,例如應用材料成立於1967年,ASML成立於1984年。

中微半導體作為後起之秀能批量進入台積電一流產線,實屬不易。

2)半導體行業本身周期性明顯期有波動,作為產業鏈一環的設備廠也必然遵循周期屬性。

但半導體製造設備行業門檻較高,公司護城河較寬,我們仍認為中微半導體憑藉優秀的管理團隊+自主核心技術,具有成長為世界巨頭級公司的潛力,長期看好。

3.2.北方華創——A股半導體設備公司稀缺標的

公司成立於2001年,總部位於北京,是一家以電子工藝裝備和電子元器件為主營業務的高科技企業,由七星電子和北方微電子戰略重組而成,是目前國內集成電路高端工藝裝備的領先企業。

股權結構:公司目前最大股東為北京七星華電科技集團有限公司,持股占比為38.90%,北京國資委為實際控制人。

公司目前已形成半導體裝備、真空裝備、新能源鋰電裝備和高精密電子元器件四大業務板塊,其中半導體設備已經占據了一半以上的收入達到57%,毛利潤占比也接近50%。

核心看點:受益於半導體設備國產化機遇

中國本土晶圓廠的興建對公司提供了發展機遇。

公司IC設備主要客戶為中芯國際、華虹半導體等國內IC製造公司,新興晶圓廠如合肥長鑫、長江存儲等亦能增加公司成長動能,公司未來直接受益半導體國產化浪潮。

公司目前主要設備有:

  • l 刻蝕機、物理氣相沉積(PVD)、化學氣相沉積(CVD)、氧化爐、擴散爐、清洗機及氣體流量計(MFC)等7大類半導體設備及零部件,
  • l 在集成電路領域,由公司自主研發的14nm等離子矽刻蝕機、單片退火系統、LPCVD已成功進入集成電路主流代工廠;28nmHardmaskPVD、Al-PadPVD設備已率先進入國際供應鏈體系;12英寸清洗機累計流片量已突破60萬片大關。

  • l 在先進封裝領域,刻蝕機和PVD設備、TSV刻蝕設備已在全球主要先進封裝企業中得到了廣泛應用。

  • l 在LED領域,ELEDE系列刻蝕機自2010年面市以來銷售量已經超過兩百餘台,其中氮化鎵刻蝕機在2014~2016連續三年新增市場占有率達到80%以上,出貨量遙遙領先;PSS刻蝕機一直是全球主流PSS廠家的主要機台,目前為止國內規模超卓的中圖半導體公司已累計採購該機型近百台,對市場起到了有力的表率作用;在技術上一舉打破了多年來本土設備商只能處於追隨狀態的堅冰局面!而同樣面向LED晶片製造的EPEE550系列PECVD自推向市場以來,一直保持著新增市場占有率80%以上的市場業績,穩居市場前沿。

  • l MEMS及新興市場,深矽刻蝕設備客戶安裝量超過百台以上。

  • l 在光伏製造領域,負壓擴散爐目前已成為市場主流產品;晶矽電池線的大部分關鍵生產設備如在線濕法刻蝕機、槽式單晶制絨機、臥式擴散爐、PECVD等設備均已實現了進口替代。

  • l 在平板顯示領域,公司是國內TFT-LCD生產線的骨幹設備供應商,多種產品在客戶G5至G10.5各個世代TFT-LCD生產線及OLED生產線上批量應用;CELL段的ODF工藝紫外固化爐UVCure以及Cutting工藝的GrindCleaner等設備均在各世代生產線占據重要份額。

3.3.長川科技

公司成立於2008年,總部位於杭州。

主要從事集成電路專用設備的研發、生產和銷售,主要包括集成電路測試機和分選機

股權結構:公司目前最大股東為趙軼,持股占比為28.26%,為實際控制人。

公司以自主研發為主,目前的產品主要有兩種,測試機和分選機,其中測試機占據一半以上的收入達到57.96%。

核心看點:受益於中國廠商在封測領域市場份額的提升

公司主營在後端封測領域,受益於全球封測向亞洲轉移趨勢。

目前公司下遊客戶主要為三大封測廠,雖然在測試機方面以國外廠商主導,公司有逐步提高市占率的趨勢。

中國廠商在封測領域較為成熟。

在半導體產業鏈中,設計——製造——封測,中國公司在封測領域的市占率最高,按營收排列,前十家封測公司中國占三家,分別為長電科技、通富微電、華天科技。

中國本土封測領域成長大於全球平均成長。

公司主要客戶:華天科技、長電科技、通富微電、士蘭微

產品分析:

測試機:由於集成電路參數項目越來越多,如電壓、電流、時間、溫度、電阻、電容、頻率、脈寬、占空比等,對測試機功能模塊的需求越來越多;②客戶對集成電路測試精度要求越來越高(微伏、微安級精度),如對測試機鉗位精度要求從1%提升至0.25%、時間測量精度提高到微秒級,對測試機測試精度要求越趨嚴格;③隨著集成電路應用越趨於廣泛,需求量越來越大,對測試成本要求越來越高,因此對測試機的測試速度要求越來越高(如源的響應速度要求達到微秒級);④集成電路產品門類的增加,要求測試設備具備通用化軟體開發平台,方便客戶進行二次應用程式開發,以適應不同產品的測試需求。

分選機:由於集成電路的小型化和集成化特徵,分選機對自動化高速重複定位控制能力和測壓精度要求較高,誤差精度普遍要求在0.01mm等級;②分選機的批量自動化作業要求其具備較強的運行穩定性,例如對UPH(每小時運送集成電路數量)和JamRate(故障停機比率)的要求很高;③集成電路封裝形式的多樣性要求分選機具備對不同封裝形式集成電路進行測試時能夠快速切換的能力,從而形成較強的柔性化生產能力及適應性;④集成電路測試對外部測試環境有一定要求,例如部分集成電路測試要求在-55—150℃的多種溫度測試環境、無磁場干擾測試環境、多種外場疊加的測試環境中進行,如何給定相應的測試環境是分選機技術難點。

3.4.上海微電子

上海微電子裝備(集團)股份有限公司(簡稱SMEE)主要致力於半導體裝備、泛半導體裝備、高端智能裝備的開發與製造。

公司設備廣泛應用於集成電路前道、先進封裝、FPD面板、MEMS、LED、PowerDevices等製造領域。

核心看點:

公司是大陸唯一量產步進式光刻機的廠商,雖然與國外巨頭ASML的產品在先進位程有差距,但發展潛力巨大,有望在某些非關鍵節點逐步提高市場占有率。


請為這篇文章評分?


相關文章 

一篇讀懂國產半導體設備現狀!

來源:國君電子 王聰/張天聞國君機械 黃琨/韋鈺核心要點: 半導體產業之風已至,政策環境利好國內半導體設備企業。在全球半導體產業向大陸轉移的過程中,半導體設備國產化具有重要戰略意義

國產半導體設備廠商梳理

前些天,我國本土半導體設備傳來好消息,中微半導體設備(上海)有限公司自主研製的5nm等離子體刻蝕機經台積電驗證,性能優良,將用於全球首條5nm製程生產線。刻蝕機是晶片製造的關鍵裝備之一,中微突破...

值得收藏!半導體全產業鏈分析

1、周期性波動向上,市場規模超4000億美元1.1、半導體是電子產品的核心,信息產業的基石從電晶體誕生,再到集成電路計算機的基礎是1和0,有了1和0,就像數學有了10個數字,語言有了26個字母,...

半導體設備現狀分析,國產水平究竟如何?

日前,一則有關中美兩國將限制半導體設備的流言在行業內流傳,在現在兩國貿易戰角力的敏感時刻,任何的風吹草動,都刺激了雙方的神經。但拋開這個流言的真假不說,這樣的內容,勾起了筆者對國內外半導體設備現...

強芯之夢010

上期我們大體介紹了中國大陸地區半導體設備的行業現狀,那麼本期我們將從公司和細分設備的角度來進一步介紹下我國大陸地區半導體設備行業的發展情況。首先我們從設備角度看看半導體製造過程的那些核心設備我國...

半導體加速發展 明年有望迎來高峰

紅刊財經 特約作者 葉文輝隨著京東方的崛起,中國面板行業已實現從零到一的突破,而在半導體產業鏈向國內轉移的大勢驅動下,面板行業的今天很可能是集成電路的明天。隨著明年晶圓廠的陸續投產,目前國內半導...