三星與台積電開打持久戰,晶圓代工市場第一寶座將屬於三星?

文章推薦指數: 80 %
投票人數:10人

作者:趙元闖 (芯思想)

台積電近來多事之秋,於2018年6月完成管理層交班,也分別在2018年8月發生計算機病毒感染事件,和2019年1月爆發晶圓質量瑕疵事件,但幸虧30年來,製程研發步步為營,穩打穩紮,保證先進位程一路領先。

2019年4月24日,三星電子公布了未來的投資計劃和目標,計劃在未來12年內(1999年至2030年)投資約1200億美元加強系統LSI和晶圓代工業務方面的競爭力;並依靠低價搶下NVIDIA下一代GPU訂單,全然力挑台積電。

但現階段遭遇日本原材料禁運,抹上了一層陰影。

本文從發展歷程、生產基地、先進位程、封裝布局等方面來梳理三星挑戰台積電的勝算。

一、發展歷程

1、台積電

1987年2月21日成立,台積電正式成立,開創純晶圓代工新模式;1988年公司營收超過新台幣10億;1991年公司實現盈利新台幣5億,此後無一年虧損;1993年營收超過新台幣100億;1994年9月在台灣上市,當年營收達新台幣193億(約6億美元);1995年營收超過10億美元;1998年營收超過新台幣500億;2000年營收首次超過1000億台幣(新台幣1660億);2008年營收超過100億美元(新台幣3330億);2012年營收首次超過新台幣5000億;2018年營收首次超過新台幣10000億。

2017年和2018年的市場占有率為56%。

作為專業集成電路製造服務業的創始者與領導者,台積電專注為全球Fabless公司、IDM公司和系統集成公司提供晶圓製造服務。

自創立開始,台積電即持續提供客戶最先進的技術及台積電TSMC COMPATIBLE設計服務,在提供先進的晶圓工藝技術與最佳的製造效率上已建立了良好的聲譽。

台積電在擁有先進技術後,將其轉換成生產優勢,其中包括良率、可靠性、準時交貨性、充足的產能以應付客戶需求和生產周期等。

技術與生產上的優勢,轉換成與客戶的長期信任關係。

2、三星電子

2005年,三星電子開始進入12英寸邏輯工藝晶圓代工領域,2017年5月12日,三星電子宣布調整公司業務部門,將晶圓代工業務部門從系統LSI業務部門中獨立出來,成立三星電子晶圓代工,主要負責為全球客戶製造非存儲晶片,從而與以台積電為首的純晶圓代工公司競爭。

從2005年到2009年,三星電子的年代工營收不足4億美元。

到2010年啃上蘋果(Appple),開始代工蘋果A系列處理器(包括A4、A5、A6、A7),代工營業收入出現爆長,2010年整體代工收入激增至12億美元(其中蘋果A系列處理器產品代工收入達8億美元)。

由於蘋果手機等移動終端產品出貨激增,三星電子的晶圓代工營收水漲船高,到2013年達到39.5億美元,當年蘋果的代工收入占到公司代工總收入的86%。

可以說2010年至2013年三星電子的代工營收完全是靠蘋果在支撐。

由於20納米工藝製程良率無法突破等多方面的原因,2014年三星電子失去蘋果A系列處理器訂單,蘋果A8處理器全部交由台積電(TSMC)代工;2015年好不容易搶到A9處理器部分訂單,但由於良率和功耗控制不如台積電,導致2016年的A10處理器又全部由台積電包圓。

由於失去蘋果這個大客戶,導致2014年和2015年晶圓代工營收出現下滑。

為了填補產能,三星電子代工部門積極出擊,搶下高通(Qualcomm)應用處理器和伺服器晶片、超微半導體(AMD)的微處理器晶片、英偉達(Nvidia)的圖形處理晶片、安霸(Ambarella)的視覺處理晶片、特斯拉(Tesla)的自駕系統晶片的訂單,得以彌補蘋果跑單的窘境。

2016年營收達到44億美元,超過2013年的水平,創下三星電子晶圓代工營收的新紀錄。

根據市場研究公司IC Insights的數據顯示,三星電子2017年晶圓代工營收達46億美元,在全球晶圓代工市場以6%的市占率排名第四,前三分別是台積電(TSMC)的56%,格芯半導體(GlobalFoundries)的9%,聯電(UMC)的8.5%;2018年晶圓代工營收達100億美元,市占率達14%,排名全球第二。

2018年三星電子排名全球第二大晶圓代工公司,並非業績大增,實乃拆分部門導致。

原因是晶圓代工部門自立門戶,不再隸屬於系統LSI業務。

所以現在包括處理器晶片(Exynos等)、CIS圖像傳感器、顯示驅動晶片、電源管理晶片的生產收入都算作晶圓代工部門營收,因此營收一路高漲,市占率一夕飆高。

二、生產基地

1、台積電

目前在台灣擁有三座12英寸超大晶圓廠、四座8英寸晶圓廠和一座6英寸晶圓廠,在中國大陸有一座12英寸晶圓廠和一座8英寸晶圓廠,在美國擁有一座8英寸晶圓廠。

位於台灣的第四座12英寸超大晶圓廠FAB18的第一期也於2019年順利投產。

2018年提供261種不同的製程技術,為481個客戶生產10436種不同產品,晶圓出貨量達1080萬片12英寸約當晶圓量。

2019年,台積電預計提供約1200萬片的12英寸約當晶圓的年產能,其中7納米產能約100萬片12英寸晶圓。

3nm工廠也在計劃建設中。

2、三星電子

到2019年底,三星電子晶圓代工專屬線將增至7條,包括6條12英寸和3條8英寸。

目前,三星電子代工業務可以提供包括65納米、45納米、32/28納米HKMG、14納米FinFET、10納米FinFET、7納米FinFET EUV工藝,客戶包括蘋果、高通、超微半導體、賽靈思、英偉達、恩智浦(NXP)以及韓國本土公司Telechips等。

韓國器興(Kiheung)的S1,建成於2005年,是三星首條12英寸邏輯代工生產線,目前量產65納米至8納米低功耗晶片,產品主要用於計算機網絡、智慧型手機、汽車、以及日益成長的物聯網市場等。

美國奧斯汀(Austin)的S2是由原8英寸廠改造而來;2010年8月開始潔凈室建設,2011年4月開始12英寸邏輯產品投產,當年達產43000片;目前量產65納米至14納米產品。

2010年設立研發中心,旨在為系統LSI部門開發高性能、低功耗、複雜的CPU和系統IP架構和設計。

韓國華城(Hwasung)的S3,是2018年建成投產的12英寸邏輯生產線,目前主要生產10納米至8納米產品,將是三星7納米產品的主力生產廠。

韓國華城的S4,是原DRAM用產線FAB11進行改造,目前CMOS影像傳感器(CIS)專用生產線。

位於華城的12英寸DRAM產線FAB13也正在加緊改造為CMOS影像傳感器專用生產線。

韓國華城的EUV專用產線自2018年2月開工建設以來,正在加緊建設。

工廠將投資60億美元,將於2019年下半年完成建設、2020年正式投產。

初期以7納米產品為主,輔以EUV光刻機。

韓國器興的8英寸晶圓代工線新FAB6於2016年開放,包括原來的FAB6、FAB7、FAB8等三個廠,涵蓋從180納米到70納米工藝節點,現有產能接近25萬片,製程技術包括嵌入式快閃記憶體(eFlash)、功率元件、影像感測器CIS,以及高電壓製程的生產,主要針對韓國本土的Fabless。

三、製程

1、台積電

自1987年成立以來,台積電一直堅持「建立內部研發」戰略,為公司帶來了顯著的競爭優勢。

台積電通過從台灣工業技術研究院轉移3.5μm和2μm技術創辦公司,同時為飛利浦定製了3.0μm技術。

僅僅一年之後,台積電於1988年成功開發了自己的1.5μm技術。

隨後進行了一系列持續的成功開發,包括1.2μm,1.0μm,0.8μm,0.6μm,0.5μm,0.3μm和0.25μm工藝。

1999年,台積電發布了世界上第一個0.18μm低功耗工藝技術。

從那時起,台積電引領行業不斷縮小的線寬技術,從0.13μm,到90nm、65nm、40nm、28nm、20nm、16/12nm、10nm、7nm,再到今年的5nm工藝。

2018年台積電營收超過新台幣10000億,其中先進位程技術(28納米及以下更先進位程)的營收占整體營收的63%,而7納米的的營收占整體營收更是超過20%,成為第一大營收來源。

那麼我們來看看台積電在10納米以下工藝的布局情況。

N7:2017年4月7nm開始風險生產,2018年量產,第三季開始貢獻營收,在2018年有40多個客戶產品流片,預計2019年還將有100多個新產品流片。

與10nm FinFET工藝相比,7nm FinFET具有1.6倍邏輯密度,約20%的速度提升和約40%%的功耗降低。

有兩個工藝製程可選,一是針對AP,二是針對HPC(高性能計算應用)。

N7+:2018年8月進入風險生產階段,2019年第三季開始量產,是台積電第一個使用EUV光刻解決方案的半導體工藝技術。

N6:為強化7nm技術,提升效能/成本優勢且加速產品上市時間,2019年4月份推出的6nm製程技術,採用EUV光刻解決方案,預計將在2020年第一季風險試產,第三季實現量產。

據悉N6工藝比N7工藝提供高出18%的邏輯密度,設計規則與N7完全兼容,使其全面的設計生態系統得以重複使用。

N5:5nm技術於2019年3月進入風險生產階段,預期2020年第二季拉高產能並進入量產。

主力生產工廠是Fab 18。

與7納米製程相較,5nm晶片密度增加80%,在同一運算效能下可降低15%功耗,在同一功耗下可提升30%運算效能。

N5P:N5P(5nm+)預計2020年第一季開始試產,2021年進入量產。

與5nm製程相較在同一功耗下可再提升7%運算效能,或在同一運算效能下可再降低15%功耗。

3nm:目前沒有更多3nm的技術信息,但是3納米工廠的投資案已經宣布,計劃投資超過新台幣6000億元在新竹寶山興建,預計2020年動工,2022年底量產。

2nm:2019年每下二季宣布正式啟動2nm工藝的研發,未來工廠將設置在位於新竹南方科技園。

2、三星電子

2005年三星電子進入晶圓代工業;2006年首個客戶簽約65納米;2009年45納米工藝開始接單,同年11月在半導體研究所成立邏輯工藝開發團隊,以強化晶圓代工業務;2010年1月首個推出32納米HKMG工藝;2014年推出第一代14納米FinFET工藝;2016年10月17日,第一代10納米FinFET工藝量產。

那麼我們來看看三星電子在10納米以下工藝的布局情況。

8LPP:8LPP在生產工藝轉換為EUV(Extreme Ultra Violet)光刻技術之前,具有最大的競爭優勢。

結合三星10nm技術的關鍵工藝流程創新,與10LPP相比,8LPP在性能和門電路密度方面提供了額外的優勢。

2018年11月成功量產Exynos 9系列(9820)。

7LPP:7LPP將是第一個使用EUV光刻解決方案的半導體工藝技術。

這裡要強調兩點,一是通過和ASML的合作,開發出了250W最大的EUV源功率,這是EUV進入到大量生產中的最重要的里程碑,EUV光刻技術的部署將打破摩爾定律擴展的障礙,為單一的納米半導體技術的發展鋪平了道路;二是關鍵IP將於2019年上半年完成研發,下半年將進行投產。

5LPE:5LPE將採用三星獨特的智能縮放(Smart Scaling)解決方案,將其納入基於EUV的7LPP技術之上,可實現更大面積擴展和超低功耗優勢。

4LPE/LPP:4LPE/LPP是三星電子最後一次應用高度成熟和行業驗證的FinFET技術,結合此前5LPE工藝的成熟技術,晶片面積更小,性能更高,可以快速達到高良率量產,也方便客戶升級。

3LPP:3LPP將第一次使用全新的MBCFETTM(Multi Bridge Channel FET,多橋接通道場效應電晶體)結構,基於GAAFET(Gate All Around FET,環繞柵極場效應電晶體)技術。

GAAFET需要重新設計電晶體底層結構,克服當前技術的物理、性能極限,增強柵極控制,性能大大提升。

預計2020年投入風險性試產。

四、封裝布局

1、台積電

2008年開始先進封裝布局。

首先成立集成互連與封裝技術整合部門,2009年開始戰略布局三維集成電路(3D IC)系統整合平台。

目前,台積電先進封裝技術WLSI(Wafer-Level-System-Integration)平台包括既有的CoWoS封裝、InFO封裝,以及針對PM-IC等較低端晶片的扇入型晶圓級封裝(Fan-In WLP),還將於2021年推出系統級整合晶片(SoIC,System-on-integrated-chips)等封裝技術,陣容更加齊整、堅強。

CoWoS於2011年開發成功,張忠謀在第三季法說會上放言,台積電要進軍封裝領域。

此舉震撼半導體業界,特別是封裝業;到2013年量產時,只有可編程邏輯門陳列供應商賽靈思(Xilinx)一家的28納米產品量產。

前CoWoS已經獲得NVIDIA、AMD、Google、XilinX、華為海思等高端HPC晶片訂單。

InFO技術於2016 年11月首度用於iPhone 7的A10處理器。

InFO技術成功應用於追求高性價比的移動通訊市場,AP產品是其主要客戶。

SoIC根植於CoWoS與WoW(多晶圓堆疊,Wafer-on-Wafer)技術,SoIC特別倚重於CoW(Chip-on-wafer)設計,這對於晶片業者來說,採用的IP都已經認證過一輪,生產上可以更成熟,良率也可以提升,也可以導入存儲器晶片應用。

更重要的是,SoIC能對10納米或以下的製程進行晶圓級的鍵合技術,這將有助於台積電強化先進工藝製程的競爭力。

WoW技術透過矽通孔(TSV,Through-silicon Vias)互連連接的10微米孔彼此接觸,將多層邏輯運算單元以立體方式堆疊在一起,架構出高速、低延遲互連性能。

雖然TSV互連早就運用在DRAM 及3D NAND 等存儲器的生產技術上,但是用在邏輯運算單元的量產上,卻還是首次。

2、三星電子

目前,三星電子正在全力推動FOPLP(面板級扇出型封裝,Fan-Out Panel Level Packaging)技術,已量產了FOPLP-PoP與I-Cube 2.5D先進封裝技術,希望可與台積電的InFO、CoWoS封裝分庭抗禮。

I-Cube 2.5D:目前已經量產,可以實現4路HBM 2顯存堆棧。

2020年則會推出3D SiP系統級封裝,適用於人工智慧(AI)、高性能計算(HPC)、網絡和GFX,三星電子寄希望I-Cube 2.5D能和台積電CoWoS封裝製程相抗衡。

FOPLP-PoP:針對移動終端用應用處理器,號稱是抗衡台積電InFO的封裝製程。

2018年10月正式生產Galaxy Watch應用處理器(AP)晶片。

但目前看來,大規模量產還是不成熟。

五、結語

競技場上拼爹是不夠的,還是得憑實力說話。

正如台積電(TSMC)憑藉尖端工藝技術(Technology)、優質服務(Service)、製造能力(Manufacture),與客戶(Customers)建立堅實的夥伴關係,穩定地創造了強而有力的成長。


請為這篇文章評分?


相關文章 

每周半導體資訊:Intel爭奪蘋果CPU訂單

【天極網手機頻道】半導體行業近幾年在高速發展,同時對整個電子市場的推動也有著極大地促進,雖然不斷的曝出了摩爾定律即將失效,製程更新進入瓶頸期,不過這一切也難以阻止半導體行業的進步。最近的一周,整...

製程戰爭——台積電篇

這是一個關於晶圓代工廠的系列文章,筆者把最近幾年的製程發展與市場狀況進行整理,第一戰先看看台積電(TSMC)的發展。

台積電與三星的晶圓代工爭奪戰詳解

本文來自半導體行業觀察(ID:icbank),文|張健。據IC Insights統計,2017年,全球前八大晶圓代工廠占總市場份額的88%。其中,台積電繼續占據主導地位,穩居第一。八大代工廠中,...

iPhone8進入備貨期 A11將於下月量產

驅動中國2017年3月27日消息 目前下一代蘋果的信息雖然曝光很多,但其實多數都是猜測,並沒有確切的消息,不過現在蘋果iPhone8的訂單開始下給供應商各種傳聞真相也將逐步浮出水面。蘋果A11晶...