半導體行業專題報告:從台積電核心能力看半導體行業趨勢

文章推薦指數: 80 %
投票人數:10人

獲取報告請登陸未來智庫www.vzkoo.com。

鑒於台積電相關的研究報告已有可觀數量,本報告將省略科普介紹與發展歷史, 直接深入探討台積電的核心能力與未來行業格局,通過量化數據方式分析研發投 入、資本支出、市場需求等關鍵要素;除了分析公司本身的投資價值,更希望借 由台積電的發展經驗,預測半導體行業未來趨勢以及國產化等過程。

在報告內容里,我們首先重點展示台積電與晶圓代工行業的核心數據,針對客觀 產業環境、公司的研發、商業模式、資本支出進行分析,總結出對晶圓代工行業 的格局與觀點。

由於市場高度關注近期的外部情勢變化,對行業秩序及品牌客戶 造成的影響,我們將以半導體行業趨勢判斷、國產化面臨的重要議題、晶圓代工 行業的二級市場估值,做出觀點總結。

1、晶圓代工龍頭,行業前瞻指標

台灣積體電路製造公司(以下簡稱台積電)於 1987 年由半導體教父張忠謀成立, 是全球最大的專職晶圓加工製造的企業,總部位於台灣新竹。

公司通過專業 晶圓代工模式,幫助 IDM(設計與生產一體化)進行垂直分工,大幅降低了晶片 設計的技術與資本門檻並提升了生產效率,從而催生了無晶圓廠(Fabless)的專 業設計行業,加速半導體行業的演化,實現摩爾定律的升級規律。

1994 年上市至今營收增長 55 倍,凈利增長 39 倍,近十年 CAGR 超行業 7pcts。

2019 年公司營收達到 10,700 億新台幣(346.3 億美元),較 1994 年增長近 55 倍, 近 10 年複合增速為 11%,同期半導體行業整體複合增速為 4%。

2019 年凈利達到 3,453 億新台幣,較 1994 年增長近 39 倍,近 10 年複合增速為 9%。

盈利能力推動市值持續上升,上市至今成長約 97 倍。

自 1994 年 9 月 5 日在台 灣證券交易所上市以來,台積電市值從最初約 28.6 億美元上漲至近日 2,789 億美 元(2020 年 02 月 20 日),市值成長近 97 倍,成長為台灣證券交易所市值最大的 公司。

台積電近年來各項利潤率指標均保持穩定,2018 年公司毛利率、營業利潤 率、凈利率分別為 48%、37%、34%,在晶圓行業內一枝獨秀。


晶圓代工產能龐大,利用率持續高企。

截止 2019 年底,公司擁有五座 12 寸晶圓 廠(Fab 12,14,15,16,18)、七座 8 寸晶圓廠(Fab3,5,6,8,10 及中國台 灣之外工廠)、一座 6 寸晶圓廠(Fab2)。

整體月產能約 100 萬片,近十年產能利 用率高達 95%。

在建台南科學園區 5nm 製程新廠,以及規劃中的 3nm 新廠,預計 分別於 2020、2022 年實現量產。

行業規模 654 億美元,台積電占據半壁江山。

根據 TrendForce 的數據,2019 年全 球晶圓代工行業的市場規模約 654 億美元,同比持平。

19Q4 台積電營收 104 億美 元,市占率達到約 52.7%。

三星、聯電、聯華電子與中芯國際緊隨其後,市占率分 別達到 17.8%、8.0%、6.8%、4.3%。

2020 年伴隨 5G 及數據中心的需求增長,行 業或進入復甦通道,台積電預期將有兩位數的增長,有望憑藉行業領先地位取得 超市場的表現。

全線布局製程技術組合,產品廣覆蓋。

公司積累了 30 年的項目經驗,在知識儲備 與產能設備方面,達到產品組合廣覆蓋,尤其在集成電路與微機電系統等領域, 均為行業領先水平,滿足終端客戶的多樣化需求;在產品開發階段,公司能協助 或甚至指導客戶,有效改善晶片結構設計與製程規劃。

具體的產品種類,則涵蓋 了邏輯晶片、微機電系統、圖像傳感器、內存、射頻、模擬訊號、高電壓控制器 等,廣泛應用於移動通信、汽車電子系統、可穿戴設備、物聯網等多領域。

2、台積電的成功因素與壁壘

2.1、與頂尖客戶深度合作,高強度研發投入

早期政策扶持與技術引進培育公司實力。

科技園區:仿照矽谷模式,台灣當 局於 1980 年設立新竹科技園區,發揮高新技術產業集群優勢。

資金支持: 1987 年由台灣「國科會」出資 1 億美元,與飛利浦及一些民間資本共同創建了台 積電。

技術引入:在技術和人才交流上,台當局與美國公司合作(如美國無線電 公司),引入技術並外派人員交流學習,同時台積電還得到了荷蘭飛利浦公司的入 股,獲得技術幫助。

工研院在台灣半導體早期發展中,扮演了關鍵角色。

首先是作為整體產業對 外的技術平台,先向行業龍頭與學術單位洽談技術授權與專利購買,然後再將晶 圓相關技術轉移給重點公司。

同時,也起到了產業鏈內部規劃的作用,通過資本 投入與橫向溝通,有效推動行業發展併合理安排企業分工。

台積電在創立初期, 研發團隊與專利基礎即是以台灣工研院為主體,具有深厚的研發基因;隨著 公司規模擴大,積極由高等院校招募研發人員與技術人員,不僅能將實驗室的創 新研究成果,快速轉化為實際生產能量,也確保生產線能被有效的管理與操作。

研發投入強度不斷攀升,近 10 年研發營收比平均為 7%,高於多數可比公司。

2018 年台積電研發投入 28.5 億美元,近十年複合增速為 18%,同期三星、聯電、中芯分別為 13%,6.5%,15%。

2018 年台積電研發投入占收入比重為 9.4%,低於 中芯國際(17%),高於三星(7.5%)、聯電(8.6%) 。

2008~2017 研發人員數量增 加 3 倍,達到近 6200 人,常年高強度的研發投入與龐大的研發團隊奠定了台積電 在晶圓代工領域的主導地位。

全球範圍約 3.7 萬億專利,構築技術壁壘。

目前台積電在全球範圍內擁有 3.7 萬項 專利。

根據創新指數研究中心公布的 2019 年全球半導體技術發明專利排行榜,台 積電以 2168 件專利申請數位居第二,體現了其一如既往的研發高投入。

大量的專 利也幫助台積電能夠在專利官司中勝出, 譬如 2009 年中芯國際因專利侵權等案 件,被判決向台積電支付約 10 億美元賠償,同年中芯國際僅有 1.6 億的營收,失 去發展重要契機,差距持續擴大。

與蘋果深度合作,智慧型手機時代搶得先機。

在 2013 年前,蘋果 A 系列處理器全 部經由三星代工生產。

台積電在經過兩年的努力,其 16nm FinFET 工藝在性能、 功耗等方面媲美三星 14nm FinFET 工藝,且由於三星與蘋果在智慧型手機領域的競 爭關係,台積電開始從 2016 年起成為蘋果 A 系列處理器的獨家代工廠,深度的合作使得台積電的邏輯代工與智慧型手機相關收入快速增長。

目前台積電在 SoC 領 域已擁有蘋果 A 系列、海思麒麟、高通驍龍、聯發科 Helio 等重要客戶,幾乎壟 斷高端智慧型手機 SoC 代工市場。

從追趕到領先,超越了半導體龍頭 Intel。

隨著製程精度的要求不斷提高,在光刻、 摻雜、結構設計、封裝方式等環節皆需持續升級,確保半導體的性能不斷提升, 延續甚至超越摩爾定律。

英特爾 10nm 的持續難產,也使得台積電的 7nm FinFET 實現了從追趕到領先的反超,成為推動摩爾定律的關鍵角色。

因此在 2000 年後的 晶圓製程,公司在多個關鍵技術節點上維持領先地位。

2.2、資本開支與製程領先的正向循環

資本支出對具有周期性的半導體行業是最大難題

雖然半導體的終端需求持續增 長,但由於晶圓廠由建設到實際量,需要 2 年以上時間,前段設備也有半年以上 的開發期,造成行業供需的周期性;許多 IDM 及代工業者,會為了確保產能利用 率而對資本開支相對保守,避免過度投資。

相對來說,公司深信技術領先是核心 競爭力,對於新製程的開發投入十分積極,即便在周期低谷,仍有 3 成以上的營 收持續投入。

先進位程資本投入水漲船高,5nm 每千片投資額高達近 3 億美元。

根據 IC insights 數據,50K 片/月產能的 130nm 工藝 200mm 廠需要約 14 億美元投資,每千片約 需要 2,800 萬美元投資額;同樣產能的 300mm 廠需要約 24 億-100 億美元,每千 片約需要 0.6-2 億美元投資額。

台積電規劃對 5nm 投資 250 億,若根據最終 80K/ 月的產能計算,每千片約需 3 億美元投資額。

先進位程疊代加速,為營收提供穩健支撐。

台積電大約 2-3 年就會製程上推進一 個工藝節點。

營收占比提升的速度呈現加快的趨勢。

最新的 7nm 在 18Q3 實現量 產,僅 4 個季度總營收占比便提升至 30%(以往需 1.5-2 年),體現出台積電對良 率和產能的控制越來越遊刃有餘。

憑藉激進的折舊和領跑優勢,用價格戰阻擊對手

通常情況下,台積電的製程技 術是領跑的,即意味著設備折舊率先提完(台積電一般 5 年),而競爭對手還在計 提設備折舊。

台積電可以利用成本上的優勢大大價格戰。

以 28nm 為例,台積電 2011 年新入的產能在 2016 年底即可計提完折舊,2017 年開始降價,讓中芯國際 和聯電的 28nm 產品盈利性大幅下滑。

高額資本開支與充沛經營現金流形成了正向循環,不斷強化領先優勢

對比另外 兩家純晶圓代工企業聯電和中芯國際,台積電的資本開支規模上遙遙領先,2019 年資本開支分別是聯電和中芯的 5 和 15 倍。

此外,晶圓代工行業設備折舊年限通 常是 5-7 年,而台積電的設備折舊政策尤為激進為 5 年,因此台積電每年有大量 的折舊,經營性現金流遠超凈利潤。

經營性現金流又可以支撐台積電在未來投入 更多的資本開支,不斷擴大這種規模優勢。

2.3、追求與客戶共榮,提供「一站式服務」

「群山計劃」俘獲 IDM 大單,開啟共同開發先例。

隨著 12 英寸晶圓廠從 2000 年 成為主流,單座晶圓廠近 25 億-30 億美元的投入讓許多 IDM 大廠望而卻步。

張忠 謀為搶下 IDM 大客戶訂單擬定了一套「群山計劃」:針對五家採用先進工藝的 IDM 大廠,為其量身訂做解決方案,與德州儀器、意法半導體、摩托羅拉展開業務合 作,甚至雙方一同投入資源,共同開發製造工藝,從而快速打開國際市場。

專注代工製造,避免與客戶的商業競爭。

台積電能夠領先全球的一個重要原因是 他們設定了一個絕對不與客戶競爭的原則,避免潛在競爭關係,並對客戶的產品 規劃與設計方案嚴格保密。

台積電自 2016 年拿到蘋果 A 系列應用處理器訂單, 除了在性能上優於三星,還有一方面是因為蘋果與三星同業競爭的關係。

早期台 積電的客戶以 Altera、Motorola、Philips、Alcatel 等電信設備相關廠商以及英偉達 等 PC 相關廠商為主。

隨著移動通信技術的升級疊代和智慧型手機的興起,蘋果、 聯發科、海思等智慧型手機相關客戶成為台積電增長的最重要驅動力。

5G 時代下先進位程助力台積電鞏固領先地位。

隨著華為、三星、小米等品牌廠商 密集推出 5G 手機,5G 手機的換機周期正式拉開序幕,帶動 5G 晶片需求提升。

除高通 X50 及三星 Exynos 5100 採用三星的 10nm 工藝外,高通新一代雙模 5G 基帶 X55,華為的 Balong 500 基帶與麒麟 990 5G SoC,以及聯發科與紫光展銳的 5G 基帶均選用台積電的先進位程工藝。

積極建設智能管理系統,提升生產效率與良率

台積電自 2011 年開始,引入智能 生產系統,在廠線上遍設傳感器,用來記錄生產線數據,包括設備參數、溫濕度、 氣體流量、電流等,同時整合數據分析、智能診斷、自主調節、精準預測等技術, 分析環境條件與產出的變量關係,用來動態精準控制生產要素。

得利於智能化的 製造體系,目前公司的按時交貨比率高達 99.5%,生產良率也能常保持在穩定狀 態。

良好的生產流程管控也使得公司新製程的良率和產能爬坡更為迅速。

晶圓廠向後段整合,高端封裝為客戶提供全面的配套服務

台積電自 2012 年開始 布局封裝,晶圓級封裝與系統級封裝相關技術成為差異化關鍵。

舉例來說,蘋果 的 A10 處理器由台積電與三星共同生產,台積電產品採用 16nm 製程配套 InFO, 許多性能指標優於三星 14nm 製程的產品,台積電也因此拿到主要份額。

公司目 前擁有三座先進封測廠,與旗下的精材合作,進行晶圓級封裝(Chip-on-Wafer-onSubstrate,CoWoS)和扇出型晶圓級封裝(Intgrated Fan Out,InFO)等業務。

3、行業回暖,先進位程兩強競爭,中芯國際向上挺進

3.1、5G 帶動半導體市場進入復甦周期

2020 年半導體市場有望進入復甦周期,晶圓代工將受益。

2019 年全球半導體銷售 額約 4,090 億美元,同比下滑約 12%。

台積電預測 2020 年受益於 5G 部署與高性 能計算的強勁需求,晶圓代工行業有望迎來兩位數的增長。

智慧型手機、數據中心、汽車與 IoT 成為半導體行業的新周期的驅動力

台積電作 為半導體行業甚至數字經濟的風向標,其營收構成或可以體現目前下游需求的主 要驅動力。

2019Q4 台積電手機、高性能計算、汽車與 IoT 分別占總營收 53%、 29%、4%、8%。

雲計算巨頭資本開支回暖,數據中心迎來擴容加速期。

隨著 5G、IoT、AI 三種技 術的逐步成熟,海量數據將帶來更多的計算資源的需求。

根據 Cisco 預測,2018 年數據中心流量達 11.6ZB,19-21 年複合增速約為 20%。

北美四大雲計算巨頭(亞 馬遜、微軟、谷歌、Facebook)資本開支在經歷 2018 年四個季度 40%以上的高速 同比增長後,2019Q3 資本支出合計 190 億美元,同比增長 19%,較 Q2(+14%) 進一步改善,整體行業開始進入復甦通道。

2020H2 有望迎來 5G 換機潮,ASP 增長與出貨量回暖將推動整體市場兩位數增 長。

近兩年智慧型手機的生產量大致維持在 14 億部, 2019 年同比下跌 4%。

受益 5G 的部署,2020 年智慧型手機出貨量有望止跌,我們預計,2020 年全球手機出貨量比 增長 1-3%,其中,5G 手機出貨量占比約 15.1%。

同時伴隨 5G 手機 ASP 的增長, 我們預計 2020 年整體市場規模增長 10%以上。

2019 年國內三大運營商資本開支企穩回升,2020 年開啟 5G 建設周期。

2019 年 三大運營商資本開支合計約 3,020 億元,同比增長 4%。

觀察韓國經驗,5G 在開 始商用後,基建速度開始加快。

2020-24 年中國整體行動網路資本開支,預計為 9,386 億元,較 4G 前 5 年(2013-17 年)增加 19.6%。

共建 5G 網絡資本開支可能 下降,但有助運營商的財務能力與行業發展,建設高峰期提前至 2021 年。

3.2、先進位程寡頭格局,台積電、三星、英特爾三足鼎立

晶圓代工行業 CR5 高達 90%,先進位程占據約 40%營收。

業內一般認為 40nm 以下節點認為是先進位程, 2018 年成熟製程擁有近 60%的市場份額,未來先進 製程逐步提高占比,行業龍頭有望受益。

2019 年晶圓代工 CR5 高達 90%,CR10 達到 95.6%,馬太效應凸顯。

先進位程呈寡頭格局,英特爾、台積電、三星三足鼎立,中芯國際是後續追趕者。

由於前述的投入規模與核心客戶綁定現象,先進位程的龍頭集中趨勢愈發明顯, 全球有 20 多家公司掌握 90nm 工藝量產,而到了 14nm 僅有 5 家公司,除了前三 大晶圓廠,其他廠商如格羅方德、聯電均已停止 10nm 以下先進位程的研發,二 線梯隊僅餘中芯國際追趕先進位程。

三星未來 10 年投資 1,160 億美元,加碼 LSI 和晶圓代工業務。

三星於 2005 年成 立了晶圓代工業務部門,並於 2017 年獨立經營。

截止 2019 年底,三星晶圓代工 專屬線包括 6 條 12 寸線和 3 條 8 寸線,製程覆蓋 65nm-7nm,客戶包括高通、恩 智浦、Telechips 等。

2019 年 4 月三星宣布未來十年將投入 1,160 億美元到 LSI(非 存儲晶片)和晶圓代工,並計劃 2020 年底試產 3nm 工藝,爭取行業的主導權。

代工業務失利,英特爾逐步退出,先進位程持續遞延

英特爾製程升級的 Tick-Tock 規律應該是 2 年更新一代,但 2014 年的 14nm 到 2019 年的 10nm 升級明顯放緩。

英特爾 10nm 製程在 2019 進入量產,但仍存在良率爬坡與供給不足問題。

預計 2020 年推出 10nm+, 20201 年推出 7nm, 2022 年推出 7nm+, 2023 年推出 7nm++。

因產能緊缺,英特爾目前已停止對外代工製造,全力衝刺自家晶片。

4、國產化現況與判斷

4.1、產業移轉疊加安全需求,國產化趨勢明確

中國迎來半導體產業第三次轉移。

第一次大型計算機時代造就了日立、三菱電氣、 富士通、NEC、東芝等世界頂級晶片製造商。

第二次 PC 和消費電子時代,帶動了 台灣代工封裝和韓國存儲行業的騰飛。

目前全球半導體產業正在發生著以IoT 為標誌的第三次大轉移,為大陸半導體產業崛起創造機遇。

中國晶片廠商崛起,2018 年中國市場規模達 2,519 億元,十年複合增速 28%。

受 益於終端市場的多樣化需求以及設計輕資產的模式,大量國內設計企業湧入 Fabless 領域。

截止 2018 年中國已有 1,698 家晶片設計企業,華為海思、紫光展 銳、中國華大等正在快速崛起,2017 年位列全球 TOP50 的中國晶片設計公司由 2009 年的 1 家增到 10 家,銷售額在 2018 年達到 2,519 億元,10 年複合增速達 28%。

以中芯國際為首的中國晶圓代工廠藉助於地域優勢,能為中國 Fabless 提供 全方位、本土化的解決方案。

中上游對外依賴度高,半導體材料與設備國產化率平均不足 20%。

2018 年中國集 成電路市場規模 6,532 億元人民幣,進出口逆差約 2,000 億元人民幣,占本國整體 市場的三分之一。

2018年我國半導體製造所需的材料和設備自主化平均不足20%, 半導體供應鏈安全性問題凸顯。

4.2、大基金引導投資,一級與二級市場共振

大基金一期上市公司投資回報近 58%,高估值帶來補貼收益的確定性。

根據統計, 大基金一期共投資 20 家上市公司近 367 億元人民幣,截止 2020 年 2 月 28 日持 股市值已上升至 578 億,投資回報率約為 58%。

此外,二級市場較高的估值將有 利於政府資金補貼的未來收益,因補貼帶來的利潤增量在高估值下,將帶來更高 的資本收益。

大基金資金加持,一期著力發展半導體製造,二期發力自主設備與材料。

大基金 一期對外共投資近 1,387 億元人民幣,共計投資 74 家公司,其中集成電路製造投 資額占比約 54%,在材料和設備領域占總投資額 4.5%。

大基金二期註冊資本為 2,041 億元人民幣,目標投向上游設備與材料,強調保障產業鏈安全;相關行業約 占全球半導體行業規模 20%左右,故大基金二期投資額占比將有所提升。

4.3、近期外部情勢將加速產業鏈替代

「瓦森納協議」成達摩克利斯之劍,半導體國產替代長期趨勢不變

「瓦森納協定」 於 1996 年簽署,目前共有包括美國、日本、英國、俄羅斯、荷蘭等 42 個成員國。

協議規定成員國自行決定是否發放敏感產品和技術的出口許可證,並在自願基礎 上向其他成員國通報有關信息。

中國(大陸)及朝鮮等國都在「被禁運」國家之 列,出口限制的對象以常規武器及部分工具機等為主。

「瓦森納協議」的存在將成為 半導體國產替代的長期趨勢,半導體製造中最為重要的設備與材料自主化將持續 推進。

美國大選年貿易摩擦再起,欲降低技術限制比例至 10%或完全禁止美國半導體廠 商為華為供貨。

2020 年為美國總統大選年,中美貿易議題的重要性較去年大幅提 升。

去年 5 月美國將華為加入「實體清單」,但四次發放「臨時許可證」延長至 2020 年 4 月 1 日。

根據路透社報導,美國政府正考慮針對華為的出口管制標準,將來 自美國技術的限制門檻從 25%調到 10%,以阻止台積電等全球主要晶片供應商向 華為供貨;美國商務部正在起草對所謂的「外國直接產品規則」的修改,擬禁止 外國廠商用美國半導體設備為華為製造晶片。

半導體設備為主要受限對象,華為供應商或受影響。

EUV 光刻機為 7nm 以下先 進位程所必需的半導體設備,其生產商 ASML 因「瓦森納協議」尚未取得荷蘭向 中國出口的許可。

因 ASML 在光刻機市場份額約 90%,故使用其設備的代工廠如 台積電與中芯國際可能都會有所影響。

美國技術門檻的標準通常將使用美國設備 的價值量來定義。

從短期看,中芯國際 14nm 新製程剛開始量產,預計美國設備 價值量占比或達到 10%以上,中芯或通過加速折舊的方式降低美國設備技術含量 的比例。

從長期看,中芯或與國內半導體設備廠商深化合作,加速設備國產化的 進程。

4.4、以中芯國際為例,看國產晶圓代工的挑戰與機遇

對比台灣,中國大陸晶圓代工條件正逐步完善但差距尚存

我們以國內晶圓代工 龍頭中芯國際對比,分析目前國產化的現況與發展路徑。

台積電與中芯兩者相似處在於起步階段都是處於全球半導體產業的轉移,同時受到政策扶持;兩者的差 異處在於發展過程中實力積累的差異比如研發能力、資本開支規模、客戶合作等; 未來外部環境的變化都將給兩者帶來不同的機遇與挑戰。

靜態來看,中芯國際量產製程與台積電有2-3代差距。

台積電2005年研發出90nm, 中芯緊隨其後,1 年後也實現量產,這是中芯最接近台積電的製程進度,但因侵權 台積電所帶來的巨額支付以及半導體行業的整體下行,差距被逐漸拉大。

中芯國 際的最新製程 14nm 在 19Q4 實現量產,而台積電在 20H2 5nm 製程便有望開始貢 獻營收,約領先中芯國際接近 3 代製程工藝節點。

中芯與台積電的資本支出差距巨大,但在二線梯隊持續領先。

2004 年中芯國際在 港美兩地上市,籌得大量資金投入研發生產,當年資本支出達到台積電的 80%。

高額投入下,很快就研發出 90nm 製程,僅遲於台積電 1 年。

從現階段對比,若 台積電16nm和中芯國際14nm的水平相當, 14Q3-19Q4)的資本開支為歷史差額, 該段時間台積電支出約 550 億美元。

成熟製程支撐資本投入,先進位程開始貢獻營收。

中芯國際目前成熟製程仍然貢 獻主要的營收(19Q4 40nm 及以上營收占比 94%),受益 CIS、指紋晶片 IC 等高 需求,產能接近滿載,為先進位程資本投入提供有力的支撐。

19Q4 中芯 14nm 產 能已達到每月三千片 8 寸當量晶圓並貢獻 1%的營收,我們預期 2020 年底相關產 能將提升 5 倍,營收占比預估能達到 10%。

未來 3 年預計資本支出將達到 80 億美元,高於公司經營性現金流。

高額的資本 開支帶來中芯國際持續的現金流缺口,公司上市以來資本支出在多數年份都超出 經營性現金流凈額,15 年至今累積現金流缺口約 60 億美元。

大基金一期共計給中芯國際及旗下子公司投資約 190 億元人民幣。

大基金二期投資規模接近翻倍, 預期投資晶片製造的金額將有所提升。

2 月 18 日,中芯國際發布公告將發行 6 億 美元的債券向泛林購買設備,用於擴產產能。

我們判斷隨著中芯產能持續擴大, 未來三年融資活動將更為頻繁。

我們預計,中芯國際 14nm 以下製程有望在 2022 年毛利率由負轉正。

我們對中芯 國際先進位程的業務進行了拆分,涉及的重要假設有 1)資產投入及折舊:預計未 來每年資本投入約 25 億美元,設備投資占總資本投入 80%,固定資產按 7 年折 舊;2)收入分拆:根據規劃 14nm 與 N+1 最終產能合計約 7 萬片,假設每季度爬 坡 3 千片;14nm ASP 初始為 2500 美元/片,10nm ASP 初始為 4000m 美元每片; 產能利用率約 3 年達到 90%的水平(已考慮良率) ;3)成本:假設製造成本維持在 收入 25%比重。

根據以上假設,我們測算公司於 2022 年毛利率接近由負轉正。

中國前十大晶片公司 2020 年營收預測 1,600 億,或產生約 400 億晶圓代工需求, 華為兼具研發能力與終端需求,是最重要客戶

根據 Trendforce 報告顯示,2018 年中國前十大 IC 設計廠商營收合計約 965 億元人民幣,預計 2020 年將達到 1,600 億(三年複合增速 30%)。

根據 IDC 預測,2020 年華為全球手機出貨量約 2.17 億 台,若簡單以每台一顆估算,預計將產生 2.2 億顆手機晶片的需求(約略每月 3 萬 片 12 寸晶圓的產能),加上其餘邏輯晶片、射頻晶片,以及基站及伺服器產品, 是兼具研發實力與產品需求的重要客戶。

5、行業估值與投資策略

市場份額與技術提升有助於提升估值水平。

作為對比,台積電自 2006 年後外資持 股比例就持續保持高位,近年維持在 80%。

外資在持續加碼台積電的過程中也逐 漸掌握了台積電的估值定價權,市值躋身全球科技龍頭;但在 2017 年後,由於自 身技術的領先差距,公司估值成長顯著超過外資持股水平。

同理,中芯國際等國 內半導體企業,隨著未來增長預期逐步兌現,估值水平有望在近年維持高位。

行業需求方面,受 5G 部署帶動,數據中心開啟新一輪擴容周期,運營商加速基礎 建設,5G 智慧型手機滲透率持續提升,2020 年半導體市場進入復甦周期。

圖像傳感 器、電源管理 IC、存儲器等需求旺盛,晶圓代工行業景氣度改善,行業產能接近 滿載。

與此同時,台積電、三星、英特爾在先進位程三強鼎立的格局已經形成, 目前 10nm 以下僅有中芯國際一家先進位程追趕者。

外部情勢的影響,短期來看美國大選前或將持續反覆,美國對華為的技術制裁不 僅會影響華為在台積電的投片,中芯國際先進位程研發或因光刻機出貨問題而受 到阻礙。

長期來看,「瓦森納協議」是中國半導體產業鏈的達摩克利斯之劍,半導 體國產替代的趨勢依舊明確,現階段的重點或將聚焦在半導體設備與材料的自主 化,確保半導體供應鏈的安全性。

投資建議:5G 智能機與高性能運算帶動先進位程需求,隨著 5nm 量產與 3nm 推 進,將鞏固台積電 5 年以上的龍頭地位。

另一方面,半導體產業鏈必將逐步向國 內轉移,一線晶片公司(如華為海思、匯頂),以及晶圓代工廠(如中芯國際、華 虹半導體),行業地位與能力有望持續提升;而確保設備與原物料技術與供應將是 當前關鍵。

我們看好相關行業頭部廠商的增長潛力,同時判斷二級市場回報是驅 動投資的重要條件;趨勢引導下,不應以海外的估值水平,過度檢驗當前市場的 定價結果。

……

(報告來源:興業證券)

獲取報告請登陸未來智庫www.vzkoo.com。

立即登錄請點擊:「連結」


請為這篇文章評分?


相關文章 

——晶片江湖——

2018年全球晶圓代工行業進行了一場重新洗牌,對全球半導體的發展格局有何深遠影響?8月29日晚,中芯國際正式公布2019年中報。財報顯示,在2019年上半年,中芯國際實現總營收100.36億元...

越來越「可怕」的台積電

台積電是一家既可敬又可怕的企業,可敬的是其突破性的晶片代工模式顛覆了半導體行業的遊戲規則;可敬的是其成為晶圓代工界的黃埔軍校,為代工界培育了大量的人才;可敬的是其對中國半導體產業所作出的貢獻。...

深度剖析台積電的成功之路

全球最大的晶圓代工企業的成功之路。台積電成立於1987年,總部位於台灣新竹,是全球第一家專注於代工的集成電路製造企業,也是晶圓代工模式的首創者。公司經過30餘年的發展,目前也已經發展為全球最大...

14nm爭奪戰別有洞天

在半導體製造領域,10nm、7nm及更先進位程的競爭正在變得越來越不激烈,其主要原因自然是投入巨大、風險高,願意進入的玩家越來越少,目前只剩下台積電、三星和英特爾這三家了,這裡顯然成為了賣方市場...

創業30年,台灣「半導體教父」這一次真的退了

在今日台積電的股東會上,創始人、董事長張忠謀說,他度過了人生最興奮最愉快的30年,感謝大家給自己機會。話畢,全場響起長達近一分鐘的掌聲。這位老人也在會後正式離開台積電,開始自己的退休生活。對於張...

風雲變幻的晶圓代工市場

在過去的一年裡,全球晶圓代工廠的格局發生了變化。文︱Daniel Nenni譯︱編輯部圖︱TrendForce在過去的一年裡,全球晶圓代工廠的格局發生了變化。其中英特爾悄然取消代工業務,而格芯(...