經歷30年發展,EUV晶片光刻技術正幫助維持摩爾定律繼續生效

文章推薦指數: 80 %
投票人數:10人

即使穿上工作服深入Fab8晶圓廠內部,你也很難體會其規模。

格羅方德斥資120億美元建造的這座工廠坐落在紐約州奧爾巴尼北部的森林裡,一排排高大的機器作為工具聳立其中。

裝有矽晶圓的運送斗如同倒立的微型過山車,沿著頭頂天花板上安裝的架空軌道快速行進。

如果你位置合適,時機又恰到好處,就會有一隻運送斗降下來,與你身旁的工具機器交接,將晶圓送到下一道將歷時3個月的工序:把餐盤大小的矽碟變成智慧型手機、個人電腦和伺服器中的晶片。

沒錯,新年開始製造微處理器,開春的時候大概就可以完成。

將晶圓的表面塗上感光物質,然後將其放入一隻不透光的掃描儀中,該過程將在這一先進的製造流程中重複60多次。

在光刻過程中,雷射穿過有圖案的表面,並把這種圖案縮小後映射到晶圓上,創造出尖端處理器內微小電晶體和布線所需的超精確功能。

在這個浩瀚的自動化海洋中,光刻機和其他種種工具之間幾乎沒有區別。

沒有閃爍著「在這裡見證非常重要的一步!」的紅色大標誌燈。

但Fab8的總經理湯姆•考爾費爾德(TomCaulfield)解釋說,光刻是「晶圓廠的核心技術」。

可以將這些掃描儀視為摩爾定律的前線。

集成電路元件密度成倍增加定義了50多年來的驚人技術進步。

幾十年來所取得的一系列重大突破中有許多都是光刻技術方面的,從而使晶片製造商可以不斷縮小晶片特徵尺寸,控制研發周期,以更經濟的方式在晶片上集成更多的電晶體。

隨著技術進步與發展,我們20世紀70年代早期在晶片中只能集成數千電晶體,而如今的容納數量則已經發展到數十億個。


但要保持良好的增長勢頭,格羅方德公司和其他先進晶片製造商不能只依賴過去輝煌的光刻研究成果。

因此,他們正在考慮另一個大膽的轉變,那可能是迄今為止最具挑戰性的轉變。

從整體上說,半導體光刻一直通過電磁輻射完成,這種電磁輻射差不多可被看成是光。

但對於製造商正在醞釀的改變來說,輻射指的又是另一碼事了。

輻射在這裡是指遠紫外線(EUV)輻射,但不要讓這個名字欺騙了你。

不同於如今掃描儀中使用的紫外線,EUV不可以在空氣中傳播,也不能通過透鏡或傳統的反射鏡聚焦。

EUV的生產過程也很困難。

該過程首先通過液錫微滴的速射流發射雷射。

人們希望掃描儀可採用13.5納米(不足當今最先進掃描儀所用波長的1/10)的光,這種光可在一個單獨步驟中刻印多層,而不用多次曝光,從而節省晶片製造商的資金。

但事實證明,要製造出能夠一年365天每天近24小時地在工廠中可靠運行且亮度足夠的EUV系統,無異於一個里程碑式的工程挑戰。

多年來,EUV一直面臨重大的質疑,一再辜負人們對其大好時光已至的期待。

不過現在,這一技術似乎真的來到了一個轉折點。

由荷蘭光刻工具製造商ASML控股公司製造的EUV光源亮度似乎已接近商業化生產的標準。

ASML公司已成為該技術標準的旗手,目前正在交付EUV掃描儀,據稱從2018年開始,該掃描儀將可就緒,用於先進微處理器和內存的大規模製造。

世界上最先進的晶片製造商正在努力籌劃何時以及如何將這些機器納入它們的生產線。

風險是高昂的。

摩爾定律面臨著重大的挑戰,沒有人知道半導體產業未來5~10年的走向,也沒有人知道後摩爾定律時代的半導體行業形勢如何。

2015年,半導體產業總收入3300多億美元。

收入下降是不可避免的事情。

但如果能努力使摩爾定律繼續生效,比方說,避免行業收入下降15%,那麼就能夠讓該行業維持相當於美國視頻遊戲產業總收入2倍的資金流總量。

光刻系統的精細度取決於好幾個因素。

但做出重大改進的有效方法就是縮短其所採用的光波長。

這正是幾十年來光刻技師們一直在做的事情,即將晶圓曝光工具所用的光,由人眼可見的藍光轉變為波長較短的紫外波段。

在20世紀80年代末,半導體行業開始將光源由汞燈改成雷射,在這一過程中將波長從365納米降低到248納米。

但當時已有一些研究人員在考慮更大的跨越,即降低到X射線的波長範圍。

後來日本電報電話公共公司(NTT)的木下廣尾(Hiroo Kinoshita)在報告中稱,有關這個想法的早期工作成果可追溯到1986年,那時採用的是11納米的輻射。

其他在AT&T貝爾實驗室和勞倫斯•利弗莫爾國家實驗室的人員也獨立研究了這項技術。

1989年,其中的一些研究人員在一次光刻技術會議上會面並交換了報告成果。

在隨後的幾年中,對這一概念進行的研究得到了來自政府和行業的資助。

ASML公司和幾個合作夥伴於20世紀90年代後期開始研究我們如今所說的EUV光刻技術。

當時安東•凡•迪西多克(Anton van Dijsseldonk)剛剛成為這家公司第一位從事該項目的全職員工。

凡•迪西多克出生在費爾德霍芬,那是座荷蘭小城,ASML公司總部就坐落於此。

他回憶說:「人們那時就預測摩爾定律要終結了。

」半導體行業正在尋找方法來提高精細度。

晶片製造商也在努力提高套刻技術,這項技術能保證在完成一系列工藝後,把晶圓精確地送回掃描儀內原來的位置,以便刻錄另一組圖形。

凡•迪西多克說:「那時大家都在尋找替代方法,可並沒有把EUV考慮在內。

但從一開始,ASML的EUV研究人員就確信他們能讓這項技術生效,而且這將是晶片製造商最經濟的選擇。

還不到10年,該公司已決定建立示範掃描儀,供其他研究人員來測試這個方法。

這種事情不是那麼容易的。

工程師希望通過本質上是X射線的輻射來刻錄圖案,但物理學上的支持不多。

該公司最終選擇了波長為13.5納米的光,這種光很容易被許多材料吸收。

凡•迪西多克在筆記中寫道,即便我們呼吸的空氣「也是濃黑色的」,能吸收每一點輻射。

所以他和他的同事們很早就意識到,EUV掃描儀唯一的工作環境便是真空,每個晶圓通過空氣閘進出掃描儀。

然後就是改變輻射方向的問題。

玻璃也吸收EUV,要想引導輻射穿過機器,就需要將透鏡改為反射鏡。

並不是什麼樣的反射鏡都可以的。

簡單的拋光表面沒有足夠的反射能力,所以不得不使用布拉格反射鏡,這種多層反射鏡可以有效地將許多弱反射合成單一的強反射。

現在,ASML公司在EUV機器中使用的反射鏡由40對只有幾納米厚的矽和鉬交替層組成。

這些由蔡司公司生產的反射鏡的非球面精度極高。

但在一天晚上,凡•迪西多克說:「如果你做到了極致,反射鏡的反射率將能達到70%。

」那個水平的反射率意味著,光照射到系統中的每對鏡子後,都會被削減為原來的一半。

一台掃描儀動輒要用十幾面反射鏡把光從光源反射到掩模板——而掩模板本身也是反射鏡——然後才能到達晶圓。

EUV經過一路曲折後,大概只能剩下不足2%的光線。

晶圓接收到的光越少,其在掃描儀中曝光的時間越長。

對於一個晶圓製造廠而言,時間就是金錢。

如果要將EUV用於商業用途,則需要其成本能夠與現有光刻方法競爭。

因此,反射造成的光損失必須由極其明亮的輻射源來補償。

這對於工程師來說真的很難很難。


在早期,EUV研究人員使用一切他們能想到的東西來產生X射線,包括雷射和粒子加速器。

但最終採用的是能獲得足夠亮度的經濟實用的方式,即等離子體。

如果用足夠強大的雷射或電能衝擊合適的材料,就可以分離那些依附在原子上的電子。

在超熱的液滴冷卻至受到衝擊之前的狀態的過程中,產生的等離子體會輻射EUV。

對於這種基於等離子體的光源,其一再重複的目標是讓中間焦點位置的功率達到250瓦,EUV光會在這個位置離開光源進入掃描儀。

機器可以通過這種程度的光每小時生產大約125個晶圓,這個批量生產目標,約是當今193納米機器生產速度的一半。

但多年來進展十分緩慢,亮度的提高也一直沒有達到預想的目標。

到2011年,也就是ASML為兩個客戶交付首款測試掃描儀約5年後,總部位於聖地亞哥的光源開發領導者Cymer成功開發出一種能夠持續提供11瓦功率的光源。

ASML公司負責EUV產品營銷的漢斯•梅林(Hans Meiling)承認:「我們可能低估了這項工作的難度。

」最後,為了加快發展,ASML出價收購Cymer,於2013年以31億歐元正式完成收購。

為了製造EUV所需的光,Cymer公司採用了一種被稱為雷射產生等離子體的方法。

利用該方法,在真空室以每秒5萬滴的速度發射高純度液態錫的微小液滴,一組雷射功率放大器(最初用於金屬切割)發射出強大的二氧化碳-雷射脈衝轟擊每一個液滴,使液滴被加熱成為可發出EUV的等離子體。

反射鏡將這個過程中產生的光反射到掃描儀里。

因為這種方法不只產生EUV光,還會產生錫屑,所以利用氫氣不斷流經收集器反射鏡,防止其被錫快速覆蓋。

ASML公司的阿爾波特•皮哈蒂(Alberto Pirati)承認:「第一次聽到這個想法時,我認為很瘋狂。

」他於2013年初加入公司EUV光源項目。

但慢慢地,這個團隊完成了這個看似不可能的任務。

其中一個最大的突破就是引進原Cymer團隊在被收購前開始探索的一項技術。

他們發現,如果在主雷射之前發射一個「前置脈衝」,就可以把每個液錫微滴壓成薄餅,擴展出更多可供主雷射進行轟擊的表面積,增加液錫微滴轉化為等離子體的數量。

這一做法將雷射到EUV的轉換效率從原來的不足1%提高到如今的5%。

2016年年初,ASML的報告顯示,得益於前置脈衝以及其他優化,實驗室內的光源功率已經達到200瓦。

另一家光源開發商Gigaphoton也報告稱自己取得了很大進步。

期待已久的250瓦的生產目標似乎不再遙遠。

但對EUV能否投入生產的真正檢驗,將在於其在ASML晶片製造客戶的實驗室、車間以及財務報表中表現如何。


毋庸置疑,EUV機器可以擁有優良特性。

如果參加半導體會議,你可能會見到將採用EUV製作的輪廓清晰的圖案與採用現在常規方法製作的模糊圖案放在一起的對比。

現在的問題是,EUV將在主要商業晶片批量生產中發揮什麼作用,以及何時發揮作用。

當然,採用EUV的成本似乎讓人望而卻步。

ASML公司的發言人尼科拉斯•米卡(Niclas Mika)說,ASML最新EUV機器的報價超過1億歐元,這是193納米掃描儀平均價格的兩倍還多。

其高度和寬度大約和紐約公交車差不多,需要好幾架747飛機運輸。

客戶估計其電力負荷將達1.5兆瓦,明顯高於193納米機器。

但規格的簡單對比不能概括全部的製造成本。

目前最先進的193納米光刻系統可製造出比所用光波長小很多的晶片尺寸功能。

這一壯舉要歸功於兩項重大突破。

第一是浸沒式光刻技術,在矽晶圓和投射圖形的光學設備之間加入水。

第二是多重曝光,把一次曝光成型的過程分為兩個或更多步驟。

例如,要想留出一組排列緊密的孔,晶圓可以通過掃描儀先成型這些孔的一半,然後稍稍偏移後再運行一次,成型另一半。

由於晶圓的定位可以非常精確,多重曝光技術能讓工程師生產出比單次曝光排列更緊密的圖案。

原則上,步驟越多,功能越精細。

但增加步驟會使晶片價格更昂貴、工藝更複雜。

現在,格羅方德公司採用三重曝光技術生產14納米的晶片,這是目前Fab8工廠生產的最先進的晶片。

這意味著,對於某些重要的層,一個晶片需要額外兩次通過掃描儀以及合成這一層所需的其他工具。

格羅方德公司的喬治•貢巴(George Gomba)說,公司預計將採用四重曝光技術生產下一代7納米晶片。

他正與IBM的同僚進行合作,牽頭完成對奧爾巴尼州立大學理工學院設備的技術評價任務。

現在,格羅方德公司計劃於2018年推出不採用EUV技術的7納米晶片,但公司對最終決策持保留態度,如果條件就緒,就應用這項技術。

貢巴和他的同事們所面臨的關鍵問題是,EUV技術的成本何時能夠與多重曝光持平。

這是個非常棘手的問題,因為它取決於許多未知因素,包括EUV的光源亮度將達到何種層次,以及整個EUV光刻系統的正常運行時間,即實際可用時間的百分比。


並不只有格羅方德公司和IBM把錢投入到EUV技術上。

2012年,英特爾、三星和台積電(TSMC)投資13.8億歐元資金幫助ASML研發下一代光刻技術(同時ASML公司也占有38.5億歐元無投票權的股份)。

ASML的梅林估計有約4000名工作人員在從事公司EUV技術的研發,這一數字不包括在主要晶片製造商和研究機構自身從事EUV項目的研究人員。

這些投資的原因不僅在於EUV難攻克,還在於晶片製造商一致認同這個觀點:如果沒有EUV,公司很快就不能繼續發展了。

如果你問TSMC EUV光刻技術發展的負責人安東尼•嚴(Anthony Yen),EUV對摩爾定律有多麼關鍵,他不會繞圈子,而是會直接回答:「絕對關鍵。

100%關鍵。

非常非常關鍵。

」TSMC預計在2020年採用EUV,到那時公司的目標將是著手建立5納米晶片的生產線。

但現在,仍然存在一些工程難題。

嚴最關心的是如何保護掩模板。

掩模板的表面呈模板狀,並帶有需在晶圓上刻錄的圖案。

正如EUV掃描儀的光學元件具有反射性,掩模板也具有反射效果。

在這裡,令人討厭的反射問題再次成為一個難題。

在193納米浸沒式光刻機中,掩模板受到一層薄膜保護,薄膜浮起一小段距離,像一塊塑料包裝一樣覆蓋在掩模板上。

在目前的特徵尺寸中,小到無法用肉眼看到的灰塵也足以遮蓋數百個電晶體。

由於光學特性,哪怕有一絲絲塵埃落在薄膜上,也會因為失焦而無法在晶圓上列印出清晰明了的圖案。

但根據設計,13.5納米的光不能通過193納米的薄膜;EUV會覆蓋在薄膜上。

ASML計劃研發沒有薄膜的掃描儀,但晶片製造商對其潛在不利影響感到不適。

嚴解釋說:「如果灰塵微粒落到掩模板上,晶圓上每個單晶粒都會受到損壞。

基本上,你將顆粒無收。

」幾個月的工作(根據晶圓數量不同會有所不同),以及價值幾萬甚至幾十萬美元的晶片都將作廢。

ASML一直在研究如何使薄膜可以承受EUV輻射的猛烈攻擊。

薄膜必須儘可能透明,這樣在到達晶圓之前不會損失額外的光。

要解決這一問題,困難會成倍增加:因為EUV掩模板具有反射性而不具有透射性,光必須經過薄膜兩次——進入一次,出去一次。

EUV還面臨其他的挑戰,潛在用戶想先得到解決方案,然後才會認可這項技術。

首先是製造無瑕疵掩模板的能力,以及確認掩模板無瑕疵的有效方法。

另一個就是光刻膠問題,即塗布在晶圓上的感光塗層,其用以獲得掩模板上的圖案。

現在的抗蝕劑在化學上被稱為增強抗蝕劑,其由分子聚合鏈組成,以提高射入光子的效果。

EUV抗蝕劑新興公司Inpria的執行長安德魯•格倫維爾(Andrew Grenville)解釋說,這些材料都無法有效地吸收EUV光。

更重要的是,如果光觸發的擴增反應沿著材料擴散,刻蝕的圖像往往會產生輕微的模糊。

格倫維爾說,為了使功能更精細,「就真的需要更小、抗蝕性更強的材料」。

Inpria公司正在研究如何用更小的錫氧化物製成抗蝕劑,旨在能容易地吸收5倍的EUV,產出沒有擴增的圖形。

這一切能否及時就緒,避免摩爾定律的消亡?如果能,是暫時的還是永久的?光刻技術專家克里斯•麥克(Chris Mack)懷疑晶片製造商到2018年依然無法解決所有問題。

開發新一代晶片是多年前提出的計劃。

他說,如果承諾在短短的幾年時間就採用EUV,那麼「這太冒險了」。

作為EUV懷疑論者,麥克反對這項技術,並且曾經用蓮花愛麗斯跑車作為賭注,不過他也承認還有「一線希望」。

晶片製造商都在努力維持小型化的發展趨勢,並把成本保持在可控範圍內;晶片換代時間似乎越來越長,並且晶片尺寸減小也不再那麼顯著。

這些都給了EUV機會,他說:「這種可能性會讓摩爾定律慢下來,給EUV足夠的時間趕上去。

「足夠的時間」,是指在成本使摩爾定律陷入停滯之前。

麥克說,EUV可能在某一時間點被人們採用,並且可以降低製造成本。

他說,但就這一點來說,下一代晶片的整體成本可能會過高,可獲取的利潤不足以吸引晶片製造商去追逐。

麥克說,老一代晶片製造方式還將存在較長時間,「我認為我們將看到市場分化,眾多公司會去做不同的事情」。

和過去一樣,摩爾定律的命運不只維繫在刻印功能的精確性上,還取決於物理學家和工程師對電晶體和電路的改造程度。

哪怕是錫等離子速射流也無法發出精確的光線來判定這世上最偉大的技術連勝將在何時終結。

不過它依然可能照亮前方的道路。

作者:Rachel Courtland


請為這篇文章評分?


相關文章 

ProPhotonix提供用於3D列印的雷射模塊

近日,ProPhotonix有限公司對外發布消息稱,其已經交付了用於3D印表機和3D掃描儀的超過50,000個雷射模塊。據悉,該公司在愛爾蘭和英國經營LED照明系統和雷射二極體模塊。

7nm晶片代工廠商太少,AMD從GF轉投台積電

建造一個全新的最先進的晶片製造工廠需要大約100億美元至150億美元,也就是說,世界上只有少數幾家公司擁有如此深厚的專業知識和雄厚的資金。 格羅方德半導體股份有限公司(Global Foundr...