光刻機國內外市場現狀分析

文章推薦指數: 80 %
投票人數:10人

一、背景

光刻機是半導體產業中最關鍵設備,也被譽為半導體產業皇冠上的明珠。

集成電路里的電晶體是通過光刻工藝在晶圓上做出來的,光刻工藝決定了半導體線路的線寬,同時也決定了晶片的性能和功耗。

工欲善其事,必先利其器,要想半導體產業突破技術封鎖,要想開發先進的半導體製程,就必需要有先進的光刻機。

近期,關於光刻機,中芯國際、長江存儲、華虹先後傳來好消息。

中芯國際(SMIC)訂購的是最新型的使用EUV(極紫外線)技術的晶片製造機器光刻機,價值1.2億歐元,與其去年凈利潤1.264億美元大致相當。

長江存儲裝入193nm浸潤式光刻機,售價7200萬美元(約合人民幣4.6億元),可用於14-20nm工藝。

華虹集團旗下上海華力集成電路製造有限公司裝入193nm雙級沉浸式光刻機,用於10nm級(14~20nm)晶圓生產。

儘管它們裝入或訂購的光刻機型號不同,但它們來自同一個荷蘭公司——ASML。

還有一個共同點不難發現,就是光刻機單價是極高的。

由於光刻機涉及系統集成、精密光學、精密運動、精密物料傳輸、高精度微環境控制等多項先進技術,是所有半導體製造設備中技術含量最高的設備,因此也具備極高的單台價值量。

二、光刻機關鍵技術及工作原理

那麼,在我國光刻機的發展現狀如何呢?我們和國外的光刻機設備廠商存在哪些差距呢?在具體到每個廠商市場和產品介紹之前,本文會先介紹一下關於光刻機的關鍵技術及原理。

光刻機就是放大的單反,光刻機就是將光罩上的設計好集成電路圖形通過光線的曝光印到光感材料上,形成圖形。

最核心的就是鏡頭,這個不是一般的鏡頭,可以達到高2米直徑1米,甚至更大。

  • 光源:

光源是光刻機核心之一,光刻機的工藝能力首先取決於其光源的波長。

下表是各類光刻機光源的具體參數:

最早光刻機的光源是採用汞燈產生的紫外光源(UV: Ultraviolet Light),從g-line一直發展到i-line,波長縮小到365nm,實際對應的解析度大約在200nm以上。

隨後,業界採用了準分子雷射的深紫外光源(DUV: Deep Ultraviolet Light)。

將波長進一步縮小到ArF的193nm。

不過原本接下來打算採用的157nm的F2準分子雷射上遇到了一系列技術障礙以後,ArF加浸入技術(Immersion Technology)成為了主流。

所謂浸入技術,就是讓鏡頭和矽片之間的空間浸泡於液體之中。

由於液體的折射率大於1,使得雷射的實際波長會大幅度縮小。

目前主流採用的純凈水的折射率為1.44,所以ArF加浸入技術實際等效的波長為193nm/1.44=134nm。

從而實現更高的解析度。

F2準分子雷射之所以沒有得以發展的一個重大原因是,157nm波長的光線不能穿透純凈水,無法和浸入技術結合。

所以,準分子雷射光源只發展到了ArF。

這之後,業界開始採用極紫外光源(EUV: Extreme Ultraviolet Light)來進一步提供更短波長的光源。

目前主要採用的辦法是將準分子雷射照射在錫等靶材上,激發出13.5nm的光子,作為光刻機光源。

目前,各大Foundry廠在7nm以下的最高端工藝上都會採用EUV光刻機,其中三星在7nm節點上就已經採用了。

而目前只有荷蘭ASML一家能夠提供可供量產用的EUV光刻機。

  • 解析度:

光刻機的解析度(Resolution)表示光刻機能清晰投影最小圖像的能力,是光刻機最重要的技術指標之一,決定了光刻機能夠被應用於的工藝節點水平。

但必須注意的是,雖然解析度和光源波長有著密切關係,但兩者並非是完全對應。

具體而言二者關係公式是:

公式中R代表解析度;λ代表光源波長;k1是工藝相關參數,一般多在0.25到0.4之間;NA(Numerical Aperture)被稱作數值孔徑,是光學鏡頭的一個重要指標,一般光刻機設備都會明確標註該指標的數值。

所以我們在研究和了解光刻機性能的時候,一定要確認該值。

在光源波長不變的情況下,NA的大小直接決定和光刻機的實際解析度,也等於決定了光刻機能夠達到的最高的工藝節點。

關於這個參數的具體含義和詳細解釋,有興趣的朋友可以參考維基百科。

  • 套刻精度:

套刻精度(Overlay Accuracy)的基本含義時指前後兩道光刻工序之間彼此圖形的對準精度(3σ),如果對準的偏差過大,就會直接影響產品的良率。

對於高階的光刻機,一般設備供應商就套刻精度會提供兩個數值,一種是單機自身的兩次套刻誤差,另一種是兩台設備(不同設備)間的套刻誤差。

套刻精度其實是光刻機的另一個非常重要的技術指標,不過有時非專業人士在研究學習光刻機性能時會容易忽略。

我們在後面的各大供應商產品詳細列表里,特意加上了這個指標。

  • 工藝節點:

工藝節點(nodes)是反映集成電路技術工藝水平最直接的參數。

目前主流的節點為0.35um、0.25um、0.18um、90nm、65nm、40nm、28nm、20nm、16/14nm、10nm、7nm等。

傳統上(在28nm節點以前),節點的數值一般指MOS管柵極的最小長度(gate length),也有用第二層金屬層(M2)走線的最小間距(pitch)作為節點指標的。

節點的尺寸數值基本上和電晶體的長寬成正比關係,每一個節點基本上是前一個節點的0.7倍。

這樣以來,由於0.7X0.7=0.49,所以每一代工藝節點上電晶體的面積都比上一代小大約一半,也就是說單位面積上的電晶體數量翻了一番。

這也是著名的摩爾定律(Moore's Law)的基礎所在。

一般而言,大約18~24個月,工藝節點就會發展一代。

但是到了28nm之後的工藝,節點的數值變得有些混亂。

一些Foundry廠可能是出於商業宣傳的考量,故意用一些圖形的特徵尺寸(Feature Size)來表示工藝節點,他們往往用最緻密周期圖形的半間距長度來作為工藝節點的數值。

這樣一來,雖然工藝節點的發展依然是按照0.7倍的規律前進,但實際上電晶體的面積以及電性能的提升則遠遠落後於節點數值變化。

更為麻煩的是,不同Foundry的工藝節點換算方法不一,這便導致了很多理解上的混亂。

根據英特爾的數據,他們20nm工藝的實際性能就已經相當於三星的14nm和台積電的16nm工藝了。

上圖為英特爾公布的10nm節點詳細工藝參數對比。

由圖可以明顯看到,同樣10nm工藝節點上,英特爾的電晶體密度大約是三星和台積電的兩倍。

以上內容簡單介紹了集成電路工藝節點的相關知識,有興趣的讀者可以參考Semiconductor Engineering上的文章Nodes Vs. Nodelets來了解更多關於工藝節點的知識。

不過本文著重要討論光刻機對於工藝節點發展的影響和貢獻。

在65nm工藝及以前,工藝節點的數值幾乎和光刻機的最高解析度是一致的。

由於鏡頭NA的指標沒有太大的變化,所以工藝節點的水平主要由光源的波長所決定。

ArF 193nm的波長可以實現的最高工藝節點就是65nm。

而到了65nm以後,由於光源波長難於進一步突破,業界採用了浸入式技術,將等效的光源波長縮小到了134nm。

不僅如此,在液體中鏡頭的NA參數也有了較大的突破。

根據ASML產品數據信息,採用浸入技術之後,NA值由0.50–0.93發展到了0.85–1.35,從而進一步提高了解析度。

同時,在相移掩模(Phase-Shift Mask)和OPC(Optical Proximity Correction)等技術的協同助力之下,在光刻設備的光源不變的條件下,業界將工藝節點一直推進到了28nm。

而到了28nm以後,由於單次曝光的圖形間距已經無法進一步提升,所以業界開始廣泛採用Multiple Patterning的技術來提高圖形密度,也就是利用多次曝光和刻蝕的辦法來產生更緻密圖形。

Multiple Patterning的技術路徑很多,本文不做展開介紹,有興趣的讀者可以參考維基百科。

值得特別注意的是,Multiple Patterning技術的引入導致了掩模(Mask)和生產工序的增加,直接導致了成本的劇烈上升,同時給良率管理也帶來一定的麻煩。

同時由於前述的原因,節點的提升並沒有帶來晶片性能成比例的增加,所以目前只有那些對晶片性能和功耗有著極端要求的產品才會採用這些高階工藝節點技術。

於是,28nm便成為了工藝節點的一個重要的分水嶺,它和下一代工藝之間在性價比上有著巨大的差別。

大量不需要特別高性能,而對成本敏感的產品(比如IOT領域的晶片)會長期對28nm工藝有著需求。

所以28nm節點會成為一個所謂的長節點,在未來比較長的一段時間裡都會被廣泛應用,其淘汰的時間也會遠遠慢於其它工藝節點。

根據業界的實際情況,英特爾和台積電一直到7nm工藝節點都依然使用浸入式ArF的光刻設備。

但是對於下一代的工藝,則必須採用EUV光源的設備了。

目前全球只有ASML一家能夠提供波長為13.5nm的EUV光刻設備。

毫無疑問,未來5nm和3nm的工藝,必然是EUV一家的天下。

事實上,三星在7nm節點上便已經採用了EUV光刻設備,而中芯國際最近也訂購了一台EUV用於7nm工藝的研發。

為方便讀者理解,上圖是我們整理的各個工藝節點和工藝及光刻機光源類型的關係圖。

三、光刻設備及供應商概覽

了解了光刻設備的基本知識,接下來我們便可以具體了解目前全球幾家主要供應商的光刻機的情況了。

目前市場上主要的光刻機供應商有荷蘭的ASML、日本的NIKON和CANON,以及中國大陸的上海微電子裝備(SMEE)。

上圖是從幾家供應商的網站上收集到的目前在售的所有光刻機的列表及相關參數。

需要注意的是,目前光刻設備按照曝光方式分為Stepper和Scanner兩種。

Stepper是傳統地一次性將整個區域進行曝光;而Scanner是鏡頭沿Y方向的一個細長空間曝光,矽片和掩模同時沿X方向移動經過曝光區動態完成整個區域的曝光。

和Stepper相比,Scanner不僅圖像畸變小、一致性高,而且曝光速度也更快。

所以目前主流光刻機都是Scanner,只有部分老式設備依舊是Stepper。

上表中如果沒有特別註明,都是屬於Scanner類型。

四、國外光刻機發展

  • 荷蘭ASML:強大的研發能力換來業界話語權

ASML (全稱:Advanced Semiconductor Material Lithography,ASML Holding N.V),中文名稱為阿斯麥(中國大陸)、艾司摩爾(台灣),是總部設在荷蘭Veldhoven的全球最大的半導體設備製造商之一,向全球複雜集成電路生產企業提供領先的綜合性關鍵設備。

ASML的股票分別在阿姆斯特丹及紐約上市。

另外,ASML的大股東是英特爾,三星和台積電(TSMC)。

由於ASML是業界公認的領頭羊,我們便以它為對象進行研究。

由上表可知,ASML的產品一共有四個系列,非嚴格地,我們正好可以將其按照技術水平分為四個檔次。

從其它三家的產品列表中可以看到,目前其它幾家都沒有正式發布的EUV級別產品能夠和ASML一較高下,只有Nikon NRS系列有ArF浸入式光刻機,參數指標上勉強可以達到ASML高端產品的水準。

但是從業界的反饋來看,Nikon高端系列實際性能相比ASML同檔次設備仍有不小差距,尤其是在套刻精度上遠遠達不到官方宣稱水準,以至於Nikon光刻設備在售價不到ASML同類產品一半的前提下,依舊銷售不佳。

ASML一直以來保持了高研發投入(甚至讓自己的客戶掏錢),因此其專利申請量也長期保持高位。

第一波高速上漲來自2000至2004年,這一時期Intel、AMD、VIA及IBM等企業設計的半導體晶片性能快速提升,為了克制晶片在高頻率運行時產生的高溫,他們對半導體製程提出了越來越高的要求,這間接導致了光刻機技術的不斷提升。

不過由於光物理性質的影響,在光刻機發展到193nm後,研發陷入了困局。

幾大晶片巨頭合力將193nm沉浸式光刻技術延伸至15nm令光刻機企業研發及專利申請下滑。

但是沉浸式光刻終於在7nm之後難以再次發展,EUV成為了解決這一問題的關鍵,近些年裡ASML相關技術專利申請再次進入增長階段。

作為一家荷蘭的企業,ASML的專利地理布局上卻值得我們思考。

其在全球各地專利申請量的排名,依次是美國、日本、台灣、韓國以及中國。

這個順序的有意思之處在於ASML的專利地理布局是根據客戶及競爭對手兩個因素進行布局。

美國既有ASML的幾大客戶,如Intel和德州儀器,又有ABM、Applied Materials、Lam Research、及Rudolph Technologies等競爭對手,自然是重中之重。

上圖顯示了ASML公司近3年的研發方向和關注技術的時間變化趨勢。

通過了解過去3年內重點技術的專利戰略,我們藉此來分析ASML公司近來關注重點的變化。

如H01L半導體器件的方面ASML的申請量下滑,可能意味著其已經完成了EUV光刻機半導體器件的設計;而G02B 光學元件及H05G X射線技術兩個IPC分類下專利申請量的增加,也行意味著ASML還在改善光刻技術中光學組件的性能以及X射線的強度。

正如ASML讓Intel、三星和台積電投資自己,共同承擔EUV的研發成本,ASML也投資了在光刻中起到關鍵作用的光學設備企業Carl Zeiss。

Carl Zeiss是ASML最重要的長期策略合作夥伴,長期以來為ASML的光刻設備提供最關火鍵且高效能的光學系統。

在下文的EUV相關專利申請排名上,Carl Zeiss更是占據了頭把交椅,這也說明了其在EUV相關光學設備上無可替代的地位。

為了獲得優先供貨和在2020年代初期就能夠讓晶片製造行業使用搭載全新光學系統的新一代EUV光刻設備,ASML 和Carl Zeiss決定進一步強化合作關係。

  • 日本Nikon和Canon:退出高端光刻機角逐台

Canon早已在很多年前便放棄了在高端光刻機上的競爭,目前產品主要集中在面板等領域。

目前他們還在銷售的集成電路光刻設備在指標標上只相當於ASML的低端產品PAS5500系列。

Nikon作為世界上僅有的三家能夠製造商用光刻機的公司之一,似乎在這個領域不被許多普通人知道,許多人只知道Nikon的相機做的好,卻不知道Nikon光刻機同樣享譽全球。

Nikon (7731.JP)成立於1917年,是總部設在日本東京,主要分四個事業領域,分別精密設備公司、映像公司、儀器公司及其他(包括CMP裝置事業、測量機事業、望遠鏡事業等)。

荷蘭ASML一步步占據市場統治地位,Nikon光刻機唯一剩下的優勢就是同類機型價格不到ASML的一半。

但給予Nikon致命一擊的還是英特爾,在新製程中停止採購Nikon的光刻機,據悉,所有主流半導體產線中只有少數低階老機齡的光刻機還是Nikon或者Canon的。

畢竟現在英特爾,三星和台積電都成為ASML的股東了。

在EUV技術領域內,ASML已經與其他競爭者之間拉開了差距。

雖然其並未排名第一,但是排名第一的卡爾蔡司(Carl Zeiss)屬於光學儀器企業,蔡司為ASML等光刻機企業提供光學組建。

而ASML較其直接競爭對手NIKON(尼康)和CANON(佳能)在EUV專利數量上有很大的優勢,甚至比NC兩家之和還要多。

日本一橋大學創新研究中心教授中馬宏之,曾對日本微影雙雄尼康與佳能的敗因深入檢討。

他在研究論文指出,ASML微影機台有90%以上零件向外採購,這一比例遠高於競爭對手Nikon和Canon,「這種獨特的採購策略,是ASML成為市場領導者的關鍵。

中馬宏之認為,高度外包的策略,讓ASML可以快速取得各領域最先進的技術,讓自己專注在客戶的需求,以及系統整合等兩大關鍵重點。

五、國產光刻機主要廠商

  • 上海微電子裝備(SMEE)

作為國內光刻設備的龍頭企業,由於起步較晚且技術積累薄弱,目前最先進的光刻設備也只能提供最高90mn的工藝技術。

單從指標上看,基本也和ASML的低端產品PAS5500系列屬於同一檔次。

SMEE專利申請趨勢圖

  • 合肥芯碩半導體有限公司

合肥芯碩半導體有限公司成立與2006年4月,是國內首家半導體直寫光刻設備製造商。

該公司自主研發的ATD4000,已經實現最高200nm的量產。

合肥芯碩重點專利技術

  • 無錫影速半導體科技有限公司

無錫影速成立與2015年1月,影速公司是由中科院微電子研究所聯合業內資深技術團隊、產業基金共同發起成立的專業微電子裝備高科技企業。

影速公司已成功研製用於半導體領域的雷射直寫/製版光刻設備、國際首台雙台面高速雷射直接成像連線設備(LDI),已經實現最高200nm的量產。

無錫影速專利主要發明人

六、國內外光刻機發展差距

從如上智慧芽專利資料庫提供的專利數據來看,國外光刻機龍頭ASML與國內佼佼者們之間的技術差距巨大。

儘管如此,但我們也在努力追趕中。

5月24日「極大規模集成電路製造裝備與成套工藝」專項(02專項)項目「極紫外光刻膠材料與實驗室檢測技術研究」完成了EUV光刻膠關鍵材料的設計、製備和合成工藝研究、配方組成和光刻膠製備、實驗室光刻膠性能的初步評價裝備的研發,達到了任務書中規定的材料和裝備的考核指標。

項目共申請發明專利15項(包括國際專利5項),截止到目前,共獲得授權專利10項(包括國際專利授權3項)。

近日,中國科學院大學微電子學院與中芯國際集成電路製造有限公司在產學研合作中也取得新進展,成功在光刻工藝模塊中建立了極坐標系下規避顯影缺陷的物理模型。

通過該模型可有效減小浸沒式光刻中的顯影缺陷,幫助縮短顯影研發周期,節省研發成本,為確定不同條件下最優工藝參數提供建議。

該成果已在國際光刻領域期刊Journal of Micro-Nanolithography MEMS and MOEMS發表。

中國目前有90納米,用90納米的升級到65納米不難。

但是45納米就是一個技術台階了。

45納米的研發比90納米和65納米難很多。

如果解決了45納米那個可以升級到32納米不難。

但是下一步升級到22納米,不能直接45納米升級到22納米了。

22納米用到了很多新的技術。

中國16個重大專項中的02專項提出光刻機到2020年研發出22納米。

2015年出45納米的並且65納米的產業化。

45納米是目前主流的光刻機工藝,包括32納米的還有28納米基本都是在45納米的侵入深紫外光刻機上面改進升級來的。

所以中國掌握45納米的很重要。

45納米光刻機是一個很重要的台階,達到這個水平後,在45納米光刻機上面進行物鏡和偏振光升級可以達到32納米。

另外,用於光刻機的固態深紫外光源也在研發,我國的光刻機研發是並行研發的,22納米光刻機用到的技術也在研發,用在45納米的升級上面。

還有電子束直寫光刻機,納米壓印設備,極紫外光刻機技術也在研發。

對光刻膠升級,對摺射液升級,並且利用套刻方法可以達到22納米到14納米甚至10納米的水平。

相應的升級的用的光刻膠,第3代折射液等也在相應的研發中。

所以,目前單純從技術層面上看,全球光刻設備的格局是:ASML一家獨占鰲頭,成為唯一的一線供應商;Nikon憑藉多年技術積累,勉強保住二線供應商地位;而Canon只能屈居三線;SMEE作為後起之秀,暫時勉強也擠入三線的檔次,但由於光刻設備對技術積累和供應鏈要求極高,未來要想打入二線則非常艱難,短期內難有實質性突破。

目前看來,如果沒有特別原因,這一格局在未來的很長時間裡都不會有任何太大變化。

上表為微信公眾號芯思想通過三家上市公司財報統計的2017年度光刻機銷售數量。

由數據可知,幾家在市場份額的格局上幾乎和技術格局一致,唯一的一些區別是Canon在面板領域擁有較大市場份額,使得它在低端光刻設備上有相對較大的銷售量和份額。

小結

中國目前的光刻機技術還在起步探索階段,雖然取得了一些小成就,但離國外先進技術差距還很大,希望通過目前科研人員的努力,能真正用上性能強,穩定性高的高端國產晶片。

特別是在極紫外光刻光學技術方面,極紫外光刻光學技術代表了當前應用光學發展最高水平,作為前瞻性EUV光刻關鍵技術研究,項目指標要求高,技術難度大、瓶頸多,創新性高,同時國外技術封鎖嚴重。

去年「極大規模集成電路製造裝備及成套工藝」國家科技重大專項「極紫外光刻關鍵技術研究」項目順利通過驗收。

項目研究團隊歷經八年的艱苦奮戰,突破了制約我國極紫外光刻發展的超高精度非球面加工與檢測、極紫外多層膜、投影物鏡系統集成測試等核心單元技術,成功研製了波像差優於0.75 nm RMS 的兩鏡EUV 光刻物鏡系統,構建了EUV 光刻曝光裝置,國內首次獲得EUV 投影光刻32 nm 線寬的光刻膠曝光圖形。

評審專家組認為該項目的順利實施將我國極紫外光刻技術研發向前推進了重要一步。

但這僅僅是實現光刻機國產化萬里長征的一部分,距離打破ASML的技術壟斷還有很長的路要走。

中國想要趕上,絕不是一朝一夕的事,需要各類基礎領域紮實的人才,這也是最難的。

作者丨關牮、Maggie、智慧芽

轉自丨IC咖啡


請為這篇文章評分?


相關文章 

智能社會的「建築師」:集成電路光刻技術

當前,我國集成電路研發和製造能力正處於一個快速發展的歷史性關鍵時期。光刻技術作為晶片製造的核心技術,其良好的性能是集成電路研發和製造能力快速前進的重要保障。光刻作為一門多學科交叉的高端技術,融...

沒有EUV 中國如何實現半導體產業強國之夢?

國際半導體製造龍頭三星、台積電先後宣布將於2018年量產7納米晶圓製造工藝。這一消息使得業界對半導體製造的關鍵設備之一極紫外光刻機(EUV)的關注度大幅提升。此後又有媒體宣稱,國外政府將對中國購...

半導體工藝節點是如何演進的|智慧產品圈

01 摩爾定律下的工藝節點的形成 1958年,美國德州儀器公司的工程師傑克•基爾比製成了世界上第一片集成電路,1962年,德州儀器公司建成世界上第一條商業化集成電路生產線。此後,在市場需求的驅動...

半導體業的明珠——光刻機

在中國與美國的貿易衝突中,半導體領域是其中的一個重點,它是《中國製造2025》路線圖中第一個要解決的高科技領域,也是中國製造業目前的薄弱之處,在半導體設計、製造到封裝三個環節中,半導體製造是國...

晶片升級全靠它——光刻技術概述

光刻是集成電路最重要的加工工藝,他的作用,如同金工車間中車床的作用。在整個晶片製造工藝中,幾乎每個工藝的實施,都離不開光刻的技術。光刻也是製造晶片的最關鍵技術,他占晶片製造成本的35%以上。在如...

這才是半導體行業的印鈔機

1965年,戈登·摩爾提出摩爾定律。當價格不變時,集成電路上可容納的元器件的數目,約每隔18-24個月便會增加一倍,性能也將提升一倍。這個不斷觸碰半導體工藝極限的定律,也經常伴隨著「死亡」和「新...

新技術加持,國產光刻機有望獲得新突破

光刻機是集成電路製造的關鍵核心設備,為了在更小的物理空間集成更多的電子元件,單個電路的物理尺寸越來越小,主流光刻機在矽片上投射的光刻電路解析度達到50-90nm。由於光波衍射的緣故,光刻電路是...