台積電官博力挺摩爾定律,5nm製程工藝將有重大突破

文章推薦指數: 80 %
投票人數:10人

智東西(公眾號:zhidxcom)編 | 韋世瑋

導語:在業內越來越多人認為摩爾定律已死的當下,台積電將如何給大家提供新的解決方案?

智東西8月15日消息,昨日,台積電全球營銷主管Godfrey Cheng在博客發布了一篇文章,他在文章中表示,摩爾定律並沒有「死亡」,並透露了有關5nm EUV(N5P)的信息和一些人工智慧的觀點。

1965年,英特爾創始人之一戈登·摩爾提出摩爾定律,他認為,當價格不變時,集成電路上可容納的元器件數量約每隔18至24個月就會增加一倍,性能也將提升一倍。

這一定律深深地影響了半導體行業,但隨著近年來,晶片工藝技術越來越接近物理極限,晶片製程的研發需要投入巨大的成本和精力,業界也出現了「摩爾定律已死」的觀點。

台積電作為全球最大的晶片代工廠商,摩爾定律的問題也與它息息相關。

這次,該公司的全球營銷主管Godfrey Cheng親自談論摩爾定律,一定程度上也代表了台積電對業界重要觀點的態度。

以下則智東西為大家整理的文章內容:

一、摩爾定律尚未過時

自從我加入台積電已經快3個月了。

就像任何加入新公司的員工一樣,這段時間以來,我一直在吸收大量的信息和數據。

我首先探究的關鍵話題之一就是摩爾定律,簡單來說,就是集成器件或晶片中的電晶體數量大約每兩年翻一番。

實際上,摩爾定律並不算是一種定律,而是一個能準確地描述半導體器件或晶片中電晶體數量的歷史觀察,或是未來預測的指南。

過去幾十年來,這些觀察和預測基本上是正確的。

但隨著新一輪十年的臨近,一些人開始認為摩爾定律已經過時了。

多年來,在CPU和GPU技術的發展過程中,摩爾定律的觀點是正確的。

從20世紀70年代到21世紀初,電晶體時鐘速度實現了從單兆赫到幾千兆赫的爆炸性增長。

自2000年以來,晶片的計算性能大大提高。

然而,它並不是通過提高電晶體時鐘速度來實現的,而是將矽架構創新和計算工作負載進行線程化或並行化。

開發CPU和GPU的公司,通過進一步的架構創新,以及增加更多的計算核心來應對這種軟體並行化。

計算核心越多,晶片可處理的線程就越多,從而提供更高的整體性能。

二、N5P工藝將進一步提高晶片密度

通過上面的例子我們可以知道,晶片計算性能是通過在計算問題上投入更多的電晶體而提高的。

那麼,在同一區域置入更多電晶體的方法是什麼?

是密度!摩爾定律是一個關於密度的觀點,其密度指的是晶片特定二維區域中電晶體的數量。

為什麼我們那麼關心晶片面積?因為晶片面積與晶片成本成正比關係。

摩爾在1965年發表的論文中清楚地表明,每個元件的製造成本與晶片上電晶體的總數之間存在一定的關聯。

讓我們探討一下如今面臨的一些計算問題,以及密度的提高將如何進一步提高晶片性能。

首先,有些人認為摩爾定律已經過時,是因為他們認為電晶體面積不可能再繼續縮小了。

在現代的電晶體規模中,典型的柵極大約有20納米長,而水分子的直徑只有2.75埃米或0.275納米。

在這種規模下,許多因素限制了電晶體的製造。

主要的挑戰是人們要在原子水平上控制半導體材料。

如何放置單個原子來製造電晶體?如何在現代晶片上發現數十億個電晶體?如何以經濟高效的方式製造這些擁有數十億電晶體的晶片?

為了解決這個問題,台積電最近宣布了N5P製程節點,這不僅將進一步擴大我們在N5節點之外的領先地位,而且N5P還將擁有世界上最大的電晶體密度,並提供更高的性能。

當我接觸到我們的技術路線圖後,我可以肯定地說,台積電在這一領域有著多年的開拓和創新經驗,我們將繼續縮小單個電晶體面積,並進一步提高其密度。

隨著我們的製程工藝進入到新的節點,您將在未來幾個月和幾年中看到更多我們在晶片工藝方面的消息。

三、如何解決系統密度?

除了解決單個電晶體的密度問題,我們還需要解決系統密度。

從CPU和GPU的經典計算任務中可以看出,現代晶片的電晶體時鐘速度極快,接近5千兆赫甚至更高。

實際上,這些計算任務所面臨的核心挑戰是保持CPU和GPU內核數據的供應。

雖然這是典型的軟體問題,但現代線程架構和方法已經直接將性能瓶頸置於硬體層面。

我們終於看到了大數據分析和人工智慧時代內存緩存的局限性。

為了滿足現代快速CPU、GPU和專用AI處理器的需求,我們除了要為內核處理器提供更高寬頻的數據之外,還必須提供在物理上更靠近請求數據(requesting the data)的內存,以改善內核延遲,這些都是設備級密度所提供的。

當內存靠近邏輯內核配置時,系統可以實現更低的延遲、更低的功耗和更高的整體性能。

有些人可能認為這是系統級的問題,而不是設備技術的內在屬性。

這在過去可能是正確的,但現在晶片和系統之間定義的界限已經變得模糊,並且還會更模糊,最終將完全被消除。

因為目前我們已經從設計技術協同優化(DTCO)時代,過渡到了系統技術協同優化(STCO)的時代。

四、封裝技術影響AI的訓練和推理

當今的高級封裝技術能讓內存更接近邏輯處理。

通常情況下,邏輯內核通過獨立的存儲器晶片進行反饋,如DDR或GDDR等接口。

存儲設備和邏輯內核之間的物理距離會增加延遲,從而限制性能。

離散存儲器的帶寬也會受到限制,因為它們只提供有限的接口寬度。

此外,邏輯分立器和存儲器的功耗也決定了設備的整體性能,尤其是在智慧型手機或loT設備等應用中,因為分立器件輻射熱能的能力有限。

像機器學習的訓練和推理等其他應用,也正在突破功率、帶寬和延遲的界限。

人工智慧通常被視為一種計算機問題,但AI有兩個不同的方面:訓練(機器學習)和推理。

任何AI系統要進行工作都必須先訓練神經網絡,而訓練需要密集的計算操作,例如前饋和後向傳播,其中邏輯核心會被饋送大量數據。

邏輯內核的饋送速度越快,學習速度就越快,因此帶寬在這一步至關重要。

訓練神經網絡的行為消耗了極大的能量,但通過封裝邏輯核心和內存,我們可以大大降低AI訓練的功耗,同時增加內存帶寬。

AI推理是訓練好的神經網絡在現實世界中的應用,同時也利用了邊緣計算。

一旦你有一個訓練好的神經網絡,邊緣設備就需要進行該訓練,並在儘可能短的時間內執行任務。

五、台積電已推出先進封裝技術

目前,台積電已經能通過先進的封裝技術將邏輯內核與存儲器緊密集成。

由於新的先進封裝系統技術基於矽晶片,半導體和系統解決方案之間的界限很模糊。

但台積電通過利用先進的封裝技術,允許我們在集成到封裝模塊之前,將晶片堆疊在晶片上,或將晶片堆疊在晶片上。

這些先進的包裝技術使晶片擁有更高的密度和性能,我們也將繼續推動先進封裝技術的創新。

摩爾定律是一個關於密度增加的觀點。

台積電將利用先進封裝實現的系統級密度,進一步增加電晶體的密度。

台積電擁有許多提高電晶體密度的方法。

其中一個可行的方法是利用由二維材料製程的電晶體代替矽,並作為溝道。

通過使用這些新材料,我們在未來也許能在單片3D集成電路中堆疊多層電晶體,還可以在AI邊緣引擎上的GPU再添加一個CPU。

摩爾定律並沒有消亡,我們還有許多不同的途徑可以繼續提升晶片密度。

文章來源:TSMC


請為這篇文章評分?


相關文章 

英特爾10nm工藝發布,正面PK友商

上月,國際半導體巨擘英特爾在北京舉行了其近十年來在華的首次製造工藝的技術介紹。此次會議英特爾眾多高管悉數出席。會上英特爾首次公布了自家最新的10nm工藝技術細節,並深度解析摩爾定律,並揭示目前關...

普林斯頓大學學者利用內存計算技術加速AI

據普林斯頓大學網站2018年11月報導,通過改變計算的一個基本特性,普林斯頓的研究人員研發了一種新型的計算機晶片,獲得了更好的性能,並大大降低了該晶片應用於人工智慧系統中的能量需求。新型的計算機...

解讀英特爾的「超異構計算」

近日,英特爾公司提出「超異構計算」的概念。英特爾中國研究院院長宋繼強表示受萬物互聯所帶來的數據洪流所推動,海量數據對處理器的性能提出更高要求,且需更具有靈活性,傳統上半導體公司單純發展先進工藝...