半導體世界的主宰摩爾定律這回真的走到終點了

文章推薦指數: 80 %
投票人數:10人

久病之後,穆爾在51歲時去世了。

1965,英特爾聯合創始人戈登·摩爾指出,集成電路的組件數量每12個月翻一番。

此外,每個售價最低的晶片電晶體數量每12個月翻一番。

在1965,這意味著50個電晶體的成本最低,而穆爾預測,1970,它將上升到每片1000晶片,每一個電晶體將下降90%。

隨著更多的數據和一些簡化,觀察變成了「穆爾定律」:每個晶片的電晶體數量每12個月增加一倍。

戈登·摩爾的觀察不是由任何特定的研究或項目推動的,而是對事實的真實反映。

矽片行業注意到了這一點,並開始利用它,不僅對觀察有描述性、預見性,而且作為普通法:整個行業都應該達到目標。

英特爾聯合創始人戈登·摩爾。

沒有什麼是偶然的。

建造一個矽晶片是一個複雜的過程,涉及到從許多不同的公司使用機械、軟體和原材料。

為了確保所有不同部分相互兼容,遵循穆爾定律,計算機行業繪製了一條路線圖,顯示了遵守穆爾定律所需的技術和變革。

半導體工業協會(SIA),包括英特爾,AMD,台積電,全球,鑄造廠和IBM,已經發布了路線圖,因為1992。

1998,新航曾與類似的來自世界各地的組織發展半導體技術路線圖(ITRS)國際。

最新的路線圖,在2013出版。

穆爾定律的原始配方早已出現。

1975,由於更多的經驗數據,戈登·摩爾自己更新了法律,將時間從最初的12個月延長到24個月。

30年來,簡單的幾何縮小,即使晶片的每一寸都縮小了,也使晶片穩定穩定地縮小,與穆爾的預測一致。

二十一世紀初,幾何的萎縮趨勢明顯下降。

但是,人們設計了各種技術和穆爾定律的步伐。

在90納米工藝中使用應變矽,在45納米工藝中使用新材料以提高矽上每個電晶體的電容。

22 nm採用三柵極電晶體保持收縮。

然而,這些新技術將是絕望的阻力,晶片模型進入矽晶片光刻已經受到相當大的壓力:目前,193納米波長的光被用來生產只有14納米晶片。

光的過度波長不是無法克服的困難,但增加了製造過程的複雜性和成本。

業界一直希望的13.5 nm極紫外(EUV)在13.5nm波長可以解決這個問題,但它已被證明在技術上很難產生EUV技術產品。

即使在極紫外,不知道有多少可以減少;2 nm,電晶體的長度將只有10個原子那麼大,那麼小的電晶體將很難即使這些問題都解決了穩定工作,電源的使用和損耗的困境會出現:電晶體越來越緊湊,消耗更多的能量。

新技術,如應變矽和三柵電晶體,已經生產了10多年,長期以來,EUV一直處於討論階段。

成本因素也是一個重要的考慮因素。

穆爾定律有一個對手,稱為岩石的法律意義,製造晶片的成本每4年翻一番。

技術可以進一步提高電晶體集成到一個單晶片的數量,但這使他們的設備將是昂貴的。

這些因素都造成了很多麻煩的晶片製造商最近。

英特爾原計劃在2016將現有的14納米處理器更換為天湖cannonlake處理器10納米,但在2015改變了計劃,推出的2016仍然是14 nm的KabyLake處理器。

Cannonlake可以在2017下半年推出。

這些額外的電晶體越來更難用。

在80和90年代,額外電晶體的價值是顯而易見的:奔騰比486快得多,奔騰II比奔騰快得多,等等。

由於更好的處理器和更高的CPU內核工作時鐘頻率,現有的工作負載只能從處理器升級獲得基本的加速。

這些簡單的改進自第二十一世紀開始停止。

在熱限制下,時鐘速度基本保持不變,每個處理器內核的性能幾乎沒有改善。

相反,我們看到在一個單晶片多處理器內核。

這增加了處理器的整體理論性能,但在實際應用中很難將這種改進應用到軟體中。

這些困難意味著路線圖,由穆爾定律驅動,現在在它的盡頭。

2014,這是決定未來的路線圖將不再受穆爾定律

新的路線圖將這種方法描述為「超過穆爾定律」,而不是把重點放在晶片的製造技術上。

例如,隨著智慧型手機和物聯網的發展,各種傳感器和低功耗處理器成為晶片製造商的目標。

用於這些設備的高度集成晶片不僅意味著製造邏輯和高速緩存處理器,還包括RAM、GPS、電力系統組件、行動電話和Wi - Fi無線電,即使陀螺儀和加速度計等MEMS器件也是如此。

傳統上,這些不同類型的組件通過不同的製造過程處理它們的不同需求,而新的路線圖概述了將它們組裝在一起的計劃。

集成不同的製造工藝和處理不同的材料需要新的工藝和支持技術。

對這些問題的處理比試圖將這些新市場的晶片製造商的晶片上的電晶體數量增加一倍更為重要。

此外,新技術在矽CMOS工藝也將得到重視。

英特爾已經宣布它將放棄矽在7 nm。

InSb(InSb)和砷化鎵銦(InGaAs)有望成為新的寵兒,並提供比矽高功率和低得多的速度。

碳納米管和石墨烯將繼續研究和有前途的。

雖然這已不再是首要考慮,但縮減規模的研究並未完全放棄。

2020、超過三柵極電晶體,全柵電晶體、納米線將可。

在中間的2020年,整體的3D堆疊技術將被引入到多層組件集成在一個單一的矽晶片。

對於未來,體積大的減少是完全不可能的。

使用不同的材料,量子效應,甚至更多的外來技術,如超導材料,可以在接下來的幾十年里很容易讓晶片的尺寸進一步縮小,甚至變焦更複雜,在過去的十五年里。

一個足夠大的推動甚至可以重振市場對處理器的需求,這些處理器將更快,而不是更小或更低。

但現在,觸犯法律的將是新的正常。

穆爾定律,作為一個預言或標準,已經走到了盡頭。


請為這篇文章評分?


相關文章 

「英特爾精尖製造日」來一場晶片技術大閱兵

一直以來,英特爾都是全球半導體行業的領軍者。然而近些年來,英特爾著力於在大數據、物聯網、無人駕駛、5G、人工智慧等領域的企業轉型,並且不斷地在推進這些全新應用領域的技術和產品的發布。相比以前,談...