轉載:中芯宣布重大突破,14納米製程研發成功

文章推薦指數: 80 %
投票人數:10人

本文轉載自:DeepTech深科技

8 月 9 日是中國半導體產業極具里程碑的日子,這天,禁錮數十年的高端生產技術被中芯國際一紙財報公開書文末,「14 納米 FinFET 技術已導入客戶」短短几行字給徹底解放,正式宣示中芯 14 納米製程研發成功,這也是中國目前最高端的晶片製造工藝技術的正式落地

而這一天,是中芯聯合執行長梁孟松加入中芯後第 298 天。

而中芯口中這位神秘的「客戶」,業界推測,中國第一大 IC 設計公司海思半導體的呼聲極高

台積電、三星拼 7 納米肉搏戰,中芯 14 納米將快速追上

對比台積電、三星電子今年進入 7 納米技術肉搏戰,中芯國際從 28 納米走到 14 納米 FinFET 技術節點的進展看似是順水行舟,但這一步也走了許多年,直到 2017年 10 月 16 日延攬前三星、台積電研發高層梁孟松加入,中國的高端技術工藝突破口才曙光乍現

中芯國際在 8 月 9 日發布最新一季度財務報告明確宣布,14 納米 FinFET 技術獲得重大進展,第一代 14 納米 FinFET 技術已經進入客戶導入生產階段,而在 28 納米工藝節點上,除了 PolySiON 技術和 HKC 技術外,28 納米 HKC + 技術也完成開發,同時 HKC 技術持續上量且良率顯著提升

雖然中芯國際沒有透露這位「客戶」的身份,但根據行業內人士判斷,第一個捧場中芯 14 納米 FinFET 技術的客戶由海思出線的機率極高,另外,也傳出高通和博通兩大 IC 設計公司也是中芯亟欲網羅進入「14 納米家族」的兩大目標群。

中芯國際成功研發 14 納米並進入生產後,將成為中國自主研發的最高端工藝技術,追平台積電位於南京採用 16 納米 FinFET 技術生產的 12 寸廠。

再者,以全球半導體技術陣營的角度來看,中芯在 14 納米 FinFET 技術上的成功,將會開始用力追趕聯電,給對方不小壓力,加上聯電也計劃到上海 A 股進行上市,未來彼此交鋒的機率只會多、不會少

2015 年時,中芯國際曾與高通、比利時微電子 imec 合作開發 14 納米技術工藝,計劃以跨國合作的方式來打造中國最先進的集成電路研發平台,不過,這樣的合作模式並沒有成功,直到網羅梁孟松加入中芯後,成為突破中國半導體製造技術藩籬的關鍵轉折。

梁孟松讓成立 18 年的中芯進入「轉骨期」,業界認為「神乎其技」

8 月 9 日是梁孟松加入中芯國際的第 298 天,他用了不到一年的時間,讓停滯將近 4 年的技術工藝往前大步跨進

他究竟是如何辦到的?如何以 298 天的時間,讓已經成立 18 年的中芯國際進入脫胎換骨的「轉骨期」,有業界人士以「神乎其技」來形容這項得來不易的成就。

而就在同一天,上海市市委書記李強也進行半導體產業發展情況的調研,實地視察半導體製造商中芯國際、華虹集團旗下的 12 寸晶圓廠上海華力微,以及半導體關鍵設備商供應商中微半導體,還有中國電子信息產業集團旗下生產智慧卡和安全晶片的華大半導體。

圖 | 上海市市委書記李強調研中芯國際,梁孟松(左)和中芯董事長周子學陪同介紹

中芯國際身為國內半導體生產製造的領頭羊,經歷上一任執行長邱慈雲協助公司將營運結構大改造後,現在的聯合執行長梁孟松和趙海軍,一人負責高端技術研發,另一人負責生產製造的管理,協力讓中芯國際突破高端技術的研發和生產瓶頸。

梁孟松和趙海軍雙雙表示,中芯正處於過渡時期,在推進技術,建立平台和構築合作關係上已經看到令人鼓舞的初步進展,同時,中芯今年會朝著高個位數的營收成長邁進。

如今,梁孟松領軍的研發團隊已經成功將 14 納米 FinFET 導入客戶端應用,下一階段目標是將該技術導入量產,希望 2019 年進入量產並且擴大客戶群。

中芯國際在14納米 FinFET奠定基石後,公司指出下一步是推進第二代的 FinFET 工藝技術,以追求更好的 PPAC(power-performance-area-cost)。

業界期待,梁孟松加入後的中芯國際在高端技術上會快速推進,14 納米 FinFET 只是第一步,極可能在2019年就會緊接著推出第二代的 FinFET 技術,且因為台積電、三星都已進入 7 納米工藝,為此,第二代 FinFET 可能會定調為一個全新的製程技術,例如「8 納米」或是「9 納米」工藝節點,跳過傳統業界「10 納米」的命名,也作為和7 納米工藝之間的區隔。

FinFET 技術延續摩爾定律,可一路做到 7 納米工藝

這次讓國內半導體生產工藝技術曙光乍現的 14 納米 FinFET 為什麼很重要?這也是中國第一個自主研發的 FinFET 技術

細數全球量產 FinFET 架構技術的半導體大廠包括英特爾、台積電、三星、 GlobalFoundries、聯電等,上一代傳統的 2D 電晶體架構發展至 28 納米工藝節點後,因其物理限制導致很難再將該技術往下微縮,現在所謂的 22 納米工藝也都是 28 納米工藝的延續,因此,28 納米也常被稱為是依循傳統摩爾定律的最後一個技術節點

全新的 FinFET 技術是 3D 鰭式電晶體架構,是目前半導體的主流技術,也憑藉該技術架構延續摩爾定律的壽命

英特爾從 22 納米開始發展 FinFET 技術工藝,三星在 14 納米工藝世代、台積電在 16 納米工藝開始導入 FinFET 架構,聯電在 14 納米導入,GlobalFoundries 的 14 納米 FinFET 技術則是與三星做技術授權,放眼全球半導體產業,無論是 10 納米或是 7 納米工藝,也都是採用 FinFET 技術架構

近期國內晶片產業發展雖然處於艱困時期,但卻激發更多的突破與創舉,更有不少具里程碑的好消息傳出。

長江存儲新技術 Xtacking 驚艷全球,中國晶片發展不畏打壓力求突破

日前,身為國內存儲陣營代表的長江存儲,由 CEO 楊士寧領軍宣布其全新的 Xtacking 技術架構問世,在國際級的快閃記憶體峰會(FMS)露臉,更獲得「最具創新初創快閃記憶體企業」大獎,該公司開發成功的 32 層 3D NAND 技術計劃在第四季實現量產,而楊士寧過去也曾經擔任過中芯國際的營運長。

而未隔幾日,中芯國際又傳來 14 納米 FinFET 工藝技術將出現歷史性的里程碑突破發展。

儘管中國晶片產業的發展前景看似阻力重重,但從近期各大廠端出的成績單來看,這些阻力或者說壓力,反而已然逐漸形成另一種形態的動力,透露的是國內各家晶片廠無論是技術開發,或是生產製造都是全力往前衝刺,越是受到打壓,越要踩油門前進。

而且隨著中芯國際、長江存儲等接二連三的技術突破好消息傳出,無疑是為國內晶片產業發展注入全新動能,這不只是心理層面上的激勵作用,更具有大步向前的實質性意義,凸顯國內半導體產業的一次關鍵性跨步躍進


請為這篇文章評分?


相關文章 

台積電戰將三星14nm功臣梁孟松傳將加盟SMIC

半導體業界公認不愛名利的前台積電共同執行長蔣尚義,赴大陸擔任中芯國際獨立非執行董事,震驚業界,然業界又傳出投奔三星電子、與台積電打官司多年的前台積電資深研發處長梁孟松,已於第3季離開三星,近期將...