國產光刻機的現狀和發展 70年代曾與歐美光刻技術處於同一水平

文章推薦指數: 80 %
投票人數:10人

半導體晶片生產主要分為 IC 設計、 IC 製造、 IC 封測三大環節。

IC 設計主要根據晶片的設計目的進行邏輯設計和規則制定,並根據設計圖製作掩模以供後續光刻步驟使用。

IC 製造實現晶片電路圖從掩模上轉移至矽片上,並實現預定的晶片功能,包括光刻、刻蝕、離子注入、薄膜沉積、化學機械研磨等步驟。

IC 封測完成對晶片的封裝和性能、功能測試,是產品交付前的最後工序。


晶片製造核心工藝主要設備全景圖

通俗點的說法,光刻機就是放大的單反,光刻機就是將光罩上的設計好集成電路圖形通過光線的曝光印到光感材料上,形成圖形。

最核心的就是鏡頭,這個不是一般的鏡頭,可以達到高2米直徑1米,甚至更大。

光刻是半導體晶片生產流程中最複雜、最關鍵的工藝步驟,耗時長、成本高。

半導體晶片生產的難點和關鍵點在於將電路圖從掩模上轉移至矽片上,這一過程通過光刻來實現, 光刻的工藝水平直接決定晶片的製程水平和性能水平。

晶片在生產中需要進行 20-30 次的光刻,耗時占到 IC 生產環節的 50%左右,占晶片生產成本的 1/3。

光刻機根據應用工序不同,可以分為用於生產晶片的光刻機,以及用於封裝的光刻機,其中封裝光刻機對於光刻精度和控制精度的要求都比製造用光刻機低很多,價值量也相對較低,本文主要討論用於晶片製造領域的光刻機。

荷蘭ASML是世界頂尖光刻機的全球唯一生產商

光刻機是晶片製造中光刻環節的核心設備, 技術含量、價值含量極高。

光刻機涉及系統集成、精密光學、精密運動、精密物料傳輸、高精度微環境控制等多項先進技術,是所有半導體製造設備中技術含量最高的設備,因此也具備極高的單台價值量,目前世界上最先進的 ASML EUV光刻機單價達到近一億歐元,可滿足 7nm 製程晶片的生產。

由於前道光刻機技術極端複雜,經過多年競爭,目前由原荷蘭飛利浦公司發展而來的ASML(阿斯麥)公司一家獨大,占據大部分市場份額,日本的兩家光刻機公司(尼康和佳能)苟延殘喘,基本上已退出光刻機市場 ,就連科技最發達的美國目前也不能獨自完整生產出前道光刻機 ,只要求掌握最關鍵技術,和擁有ASML(阿斯麥)公司關鍵控股權。

從2011-2017 歷年全球光刻機出貨比例可以看出, ASML,尼康,佳能三家公司幾乎占據了 99%的市場份額,其中 ASML 光刻機市場份額常年在 60%以上,市場地位極其穩固。


光刻設備國產化 前路漫漫

光刻機國產化仍有很長的路要走,處於技術領先的上海微電子裝備有限公司已量產的光刻機中性能最好的是 90nm 光刻機,製程上的差距非常大, 國內晶圓廠所需的高端光刻機只能完全依賴進口。

早在1971年,我國清華大學精儀系就成功研製出了「雷射干涉定位自動分步重複照相機」,也就是前道步進光刻機原型。

那時,現在的光刻機巨頭ASML還未創立,可以說跟歐美光刻技術處於同一水平,進入80年代後,隨著國家放慢了對半導體工業支持的腳步,面對飛速發展的國際半導體行業,我國卻被遠遠甩在了後面。

目前國內光刻機設備商較少,在技術上與國外還存在巨大差距, 且大多以雷射成像技術為主。

中國目前能生產光刻機的廠家:

1、上海微電子裝備有限公司

上海微電子裝備有限公司已經量產的是90納米

2、中子科技集團公司第四十五研究所國電

中子科技集團公司第四十五研究所國電已經量產的是1500納米

3、合肥芯碩半導體有限公司

合肥芯碩半導體有限公司已經量產最先進的是200納米

4、先騰光電科技有限公司

先騰光電科技有限公司已經量產的是800納米

5、無錫影速半導體科技有限公司

無錫影速半導體科技有限公司 200納米

進入21世紀後,我國重新開展了前道光刻機的研發工作,2002年成立了專門的研發公司——上海微電子裝備有限公司(SMEE)。

上海微電子裝備有限公司進行集成式創新,終於於2007年研製出了我國首台90納米高端投影光刻機,成為世界上第四家掌握高端光刻機技術的公司。

2017年7月,這套國產90納米高端光刻機首次曝光即告成功。

再到2017年10月,首個國產曝光光學系統「在整機環境下通過驗收測試」。

2022年左右有望完成驗收。

這意味著,中國半導體材料和設備(工藝技術)產業又向前跨出了關鍵一大步。

儘管這是目前國產光刻機最高水平,而ASML如今已量產7nm工藝製程 EUV光刻機,兩者差距不得不說非常大。

另外,國家科技重大專項」極大規模集成電路製造裝備與成套工藝專項」(02專項)的65nm光刻機研製,目前正在進行整機考核。

65納米到32納米的具體技術分析:光刻機技術在90納米是一個技術台階,邁過90納米那麼很容易做到45納米,也就是你可以做65納米的光刻機,那麼對65納米的進行升級可以做到45納米。

45納米又是一個技術台階。

邁過45納米的那麼就可以升級到22納米比較容易。

22納米也是一個台階。

邁過22納米的,升級到14納米不難。

事實上,十多年前國家就已意識到發展光刻機的必要性。

2006年國務院發布《國家中長期科學和技術發展規劃綱要(2006-2020年)》確定發展16個重大專項,其中「極大規模集成電路製造裝備及成套工藝」被列為「02專項」,該專項於2008年國務院批准實施,並將EUV光刻技術列為「32-22nm裝備技術前瞻性研究」重要攻關任務。

在這之後,國內光刻機的研究發展大多由「02專項」資金支持,目前除了上海微裝已生產出90nm光刻機外,2016年初光刻機核心子系統雙工件台系統樣機研發項目通過內部驗收,為我國自主研發65nm至28nm雙工件乾颱式及浸沒式光刻機奠定了基礎。

此外,由長春光機所作為牽頭單位承擔起了「極紫外光刻關鍵技術研究」項目研究工作也取得了一些成績,成功研製了波像差優於0.75 nm RMS的兩鏡EUV光刻物鏡系統,構建了EUV光刻曝光裝置,國內首次獲得EUV投影光刻32 nm線寬的光刻膠曝光圖形,上述成果於2017年6月21日通過項目驗收。

但上述研發項目成果也僅是通過內部驗收,代表國產光刻機技術水平的仍是上海微裝生產的90nm工藝製程晶片光刻機,且尚未經過晶圓廠的產線驗證,總體而言遠遠落後於國際一流水平。


請為這篇文章評分?


相關文章 

中國半導體光刻設備研究達到了什麼水平?

晶圓工廠生產晶片,必須將掩膜版上的電路圖形轉移到矽晶圓片上,這就必然要用到先進的前道光刻機。也可以說,光刻在半導體晶片的製造工藝中,是最為關鍵的工藝環節。一般地,光刻的水平是高是低,會直接決定...

AMSL的光刻機為何能賣上億美元?

近日荷蘭大廠ASML公布2018年Q3季度財報,季度營收為27.8億歐元,凈利潤為6.8億歐元,全季度出貨5台EUV,同時ASML預計今年將出貨18台EUV,明年獎把產量提升至30台。平均每台價...

沒有EUV 中國如何實現半導體產業強國之夢?

國際半導體製造龍頭三星、台積電先後宣布將於2018年量產7納米晶圓製造工藝。這一消息使得業界對半導體製造的關鍵設備之一極紫外光刻機(EUV)的關注度大幅提升。此後又有媒體宣稱,國外政府將對中國購...

5納米晶片國產化?為之喝彩但別被誤導!

現在Made in China已經享譽全球,可中國雖然是一個製造大國,卻並不算是科技強國,尤其在半導體產業上,核心的技術都掌握在別人手中,晶片製造設備的95%都是依賴於進口。起步晚,底子薄,造成...

Intel宣布10nm進展:真拼了

三星宣布7LPP工藝進入量產,並表示基於EUV光刻技術的7LPP工藝對比現有的10nm FinFET工藝,可以提高20%性能、降低50%功耗、提升40%面積能效。三星電子的代工銷售和營銷團隊執行...