國產半導體設備製造業發展概況:弱小不可怕,怕的是沒人去做

文章推薦指數: 80 %
投票人數:10人

作者/寧南山

半導體生產設備和材料,是半導體產品的最上游

全世界每年銷售的生產設備和材料,加起來總共800多億美元左右,如果僅僅從規模上來看,其實並不大,就算有個國家占據了全球50%的生產設備和材料的份額,一年銷售額也就是400億美元多點。

這兩個領域主要是技術門檻高,同時是制高點,因此可以起到控制他國集成電路發展速度的作用

具體來說,根據國際半導體產業協會SEMI的統計,2016年全球半導體設備出貨額412億美元,全球半導體材料出貨額443億美元。

而據國際半導體產業協會(SEMI) 2018年1月26日公布的數據,2017年全球半導體設備商出貨金額達到560億美元,比起上一年大幅增長接近40%,創下歷史新高

很遺憾的是,由於全球新建的12英寸晶圓廠在逐漸向中國集中,因此半導體設備採購額增長,很大程度上來自中國,在這個領域,我們處於受制於人的局面

1 / 全球十大半導體設備製造商

我們看下Gartner 2016年的全球十大半導體設備製造商排名,當然裡面並沒有中國公司出現,只有三個國家的公司上榜了,美國,日本和荷蘭

2016年全球前十大晶圓製造設備供應商排名(百萬美元)

世界前三名是美國應用材料,美國Lam Research,荷蘭ASML

接下來是第四名日本的東京電子,第五名美國的KLA Tencor。

前十名的門檻為4.97億美元,可以看出其實世界十強的門檻並不高,但就是這麼低的門檻,也就是30多億人民幣,我國仍然沒有一家企業入圍,但是這也從側面說明,我國有企業進入世界前十的日子已經不會太遠

世界十強裡面,只有美日荷三個國家的企業入圍

美國三家應用材料,Lam Research(科林研發)和KLA Tencor,營收共計153.56億美元,可以看出美國這三家就占了全球份額的接近37%。

其次是日本有五家,東京電子,Screen semiconductor(迪恩士),日立先端科技、尼康和日立國際電氣,合計84.76億美元。

占全球20.6%,僅次於美國。

但是注意,日本雖然有五家,但是只有東京電子體量比較大,營收為48.61億美元,比其他四家加起來還要多。

尤其是曾經在半導體設備製造盛極一時的尼康,現在年營收下滑到只有7.32億美元了,日立國際電氣也在2016年出現營收大幅下滑。

與此同時日本半導體設備製造的領頭羊東京電子發展勢頭不錯,日本迪恩士增速更是前十強中最高,因此東京電子,迪恩士,日立先端科技三家是日本的代表公司

然後是荷蘭有兩家。

沒錯荷蘭居然有兩家,一家就是大名鼎鼎的ASML,排名全球第三。

另外還有一家ASM International,兩家合計55.88億美元,占了全球的13.55%。

前十名只有美日荷,因此美國、日本、荷蘭是世界半導體裝備製造的三大強國

當然,上面的數據只是設備的收入,實際上這些廠家除了賣設備以外,還有服務收入,比如說你工廠的設備出問題了,那麼就只能找廠家來解決,這個可是要收費的。

因此如果全部收入加上的話,營收和利潤是下面的圖裡面這樣。

以第一名的應用材料為例,設備收入為77.37億美元,而總營收為108.25億美元,可見服務收入也是很大的

實際上,凡是購買國外先進設備的中國公司,都應該知道洋人服務費收取的高昂,工程師按小時計費,備件貴的驚人,利潤率甚至比賣設備更高

2 / 中國半導體製造設備的現狀

那麼我們關心的問題來了,中國的情況如何呢?世界前十強的門檻是4.97億美元,而中國2016年營收最高的半導體設備公司收入才9.08億人民幣,按照6.64的平均匯率計算,只有區區1.367億美元,離世界十強的門檻還差了四倍。

中國半導體製造設備的現狀是:星星之火,可以燎原,增速很快

2016年中國半導體設備銷售收入總計57.33億元,同比增長21.5%,其中前十強單位完成銷售收入48.34億元,同比增長28.5%,也就是說,前十強的增速快於整體增速,也就是市場集中度在不斷提高,可喜可賀。

我們換成美元算一下,全球市場是412億美元,中國設備廠家全部加起來才57.33億元,差不多8.63億美元,也就是差不多2%左右。

但是我們也要有信心,世界前十隻有美國,日本,荷蘭三強而已,這個產業玩家並不多,我們只要在裡面,就是世界前五的水平,因為韓國的設備產業也比我國強

目前我國保持超過20%的增速,差不多四年可以翻一倍,也就是到了2020年,我們就可以達到世界的4%了,再繼續保持,到2025年就可以超過世界10%了,雖然比例和我國的國力不相稱,但總算是世界主流玩家了,任重而道遠啊。

另外還有一個,半導體生產設備由於其技術難度高的關係,每一種工藝設備基本都是前三名占據了全球90%的市場份額,可見其研發難度。

我們來盤點下中國半導體設備製造的十強,我們看下這十強裡面有哪些有希望的公司。

下圖來自中國半導體行業協會。

2016年中國半導體設備十強(接銷售收入排序)

這裡要澄清一下,半導體不只是集成電路,其他如LED發光二極體和光伏電池也是半導體,他們都是矽基的。

在晶圓製造中,總共有七大生產區域,分別是擴散(Thermal Process)、光刻(Photo- lithography)、刻蝕(Etch)、離子注入(Ion Implant)、薄膜生長(Dielectric Deposition)、拋光(CMP,即化學機械拋光)、金屬化(Metalization)。

這其中金屬化,也就是把集成電路里的各個元件用金屬導體連接起來,用到的設備也是薄膜生長設備,所以這兩個區域的設備是類似的,應該算成是六大類生產設備。

另外幾乎每個區域都會用到清洗機,因為生產工藝越來越複雜,幾乎每一兩步就要對矽片清洗一次。

所以我們說是七大類生產設備擴散爐,光刻機,刻蝕機,離子注入機,薄膜沉積設備,化學機械拋光機,清洗機。

半導體晶圓製造中最主要、價值最貴的三類是鍍膜設備(或者叫沉積設備,包括PECVD,LPCVD,ALD等)、刻蝕設備、光刻機,分別占半導體晶圓廠設備總投資的15%、15%、20-25%,當然這個數只是外界估計值,畢竟我們誰也不知道中芯國際,台積電購買設備的實際價格。

當然,我們注意到了,光刻機最貴技術也最複雜,所以我們最關注光刻機。

1 / 中電科電子裝備公司

排名第一位的,中電科電子裝備公司,2016年的銷售收入僅為9.08億元。

當然從名字我們可以看出來,這是國家隊。

實際上,中電科裝備隸屬於中國電子科技集團,集團旗下有一家大名鼎鼎的稱霸全球的公司,就是海康威視

不過中電科裝備公司主營業務其實還是光伏部分,在集成電路晶圓製造的七大領域設備中,中電科電子裝備公司在離子注入機和CMP(化學機械拋光機)兩個領域實現了重大突破

離子注入機是集成電路製造至關重要的核心裝備——主要是將粒子注入到半導體材料中,從而控制半導體材料的導電性能,進而形成PN結等集成電路器件的基本單元。

電科裝備目前是國內唯一 一家集研發、製造、服務於一體的離子注入機供應商,電科裝備在承擔了02專項後,在離子注入機研發方面,一年邁上一個新台階。

我們要注意兩個詞,一個是唯一的一家離子注入機供應商,一個是02專項,實際上如果沒有國家02專項的支持,我國離子注入機到現在還是一片空白

那麼電科裝備的離子注入機到現在發展到什麼水平了呢?如果是研發出來後就停留在實驗室,那就讓人遺憾了。

2014年,12英寸中束流離子注入機以優秀等級通過國家02專項實施管理辦公室組織的驗收。

2015年,在中芯國際先後完成了55nm、45nm和40nm小批量產品工藝驗證,這一年國產首台中束流離子注入機率先實現了量產晶圓過百萬片。

到2017年11月,中束流離子注入機已經在中芯國際實現了穩定流片200萬片,請注意這個數字在2015年還是剛剛突破百萬片。

這個200萬片意味著什麼呢?2017年第三季度,中芯國際的實際產能為44.8萬片。

從2015年的百萬片,到2017年的200萬片,兩年時間國產離子注入機完成了100萬片的生產量,而按照中芯國際2017年第三季度的實際產能計算,年產能為180萬片左右。

因此我們可以合理估計,在七大類設備中的離子注入機,中芯國際產線的25%-30%左右已經基本實現了國產化

當然我們要注意,中束流離子注入機只是適用於55nm、45nm和40nm,要進一步適應更先進位程,還需要大束流離子注入機,2016年,電科裝備推出滿足高端工藝的新機型45—22nm低能大束流離子注入機,前面提到中束流、低能大束流系列產品已經批量應用於IC大線。

而2016年推出的45-22nm低能大束流離子注入機在2017年也在中芯國際產線進行驗證,驗證通過後,將會批量出貨,進一步提高中芯國際產線離子注入機國產化率。

2017年,離子注入機批量製造條件廠房及工藝實驗室投入使用,具備符合SEMI標準的產業化平台,年產能達50台。

中電科的大束流28nm離子注入機在中芯國際12英寸生產線現場

電科裝備董事長、黨委書記劉濟東強調,電科裝備自主研發的離子注入機打破了高端市場被美日壟斷的局面,打造了離子注入機國產品牌

七大類設備中的離子注入機,中電科已經可以和中芯國際最先進的28nm製程齊頭並進,當然更先進位程的離子注入機我國目前還沒有,合理估計中芯國際在研的14nm製程,也在和中電科共同研發更先進的離子注入機。

這也是中芯國際的發展如此重要的原因,國產下游不發展,就形不成對上游國產設備的需求,不要指望台積電,英特爾,三星,海力士,聯電會購買你的製造設備耗時耗力進行技術驗證,他們沒有這個心。

另外是七大類生產設備中的CMP拋光機,2017年我國實現了零的突破

2017年11月21日,電科裝備自主研發的200mmCMP商用機完成內部測試,發往中芯國際天津公司進行上線驗證。

這是國產200mmCMP設備首次進入集成電路大生產線有效解決了制約我國集成電路產業自主可控發展的瓶頸問題

CMP作為集成電路製造七大關鍵設備之一,用於平坦化工藝及銅互聯工藝。

有意思的是該設備並非是02專項,而是電科裝備在承擔「十二五」(2011-2015年)02專項「28—14nm拋光設備及成套工藝、材料產業化」項目的同時,自主投入研製200mm和300mm CMP商用設備,形成300mm、200mm設備研發齊頭並進、相互支撐的局面。

200mm也就是我們常說的8英寸矽片,300mm也就是我們常說的12英寸矽片,300mm是目前集成電路矽片的主力尺寸

從2015年1月開始,電科裝備CMP設備研發團隊用兩年的時間,連續突破了10餘項關鍵技術,完成了技術改進50餘項,在2017年8月成功研發出了國內首台擁有完全自主智慧財產權的200mmCMP商用機,成功打破國外技術封鎖壟斷。

經嚴格的萬片「馬拉松」測試,該設備目前可媲美國際同類設備。

在2017年11月底接下來的6個月裡,200mm CMP設備要正式接受大生產的考驗,設備的可靠性和一致性將經受嚴格考核。

也就是說這個驗證要到2018年5月完成

下圖為200mmCMP設備進入中芯國際生產線進行工藝驗證。

與此同時,電科裝備的300mm CMP拋光機也在研發中,如果一切進展順利,那麼2018年電科裝備的200mm CMP將會在中芯國際產線完成工藝驗證,300mm CMP將在2018年研發成功

除了集成電路晶圓製造兩大關鍵設備以外,電科裝備還是國內主力的集成電路封裝設備製造商,其封裝設備累計銷售2000餘台套,已經批量應用於長電科技、通富微電、蘇州晶方等國內知名封測企業——在高端封裝設備領域,電科裝備已經形成局部成套的供應能力。

在02專項支持下,電科裝備完成了封裝產線必須的300mm超薄晶圓減薄拋光一體機研發與產業化。

同時研發了倒裝晶片鍵合機、全自動精密劃片機用於封裝產線,技術水平在國內處於領先地位

2 / 晶盛機電

第二位的晶盛機電就有意思了,董事長兼CEO邱敏秀原來是浙江大學教授,這是一家技術背景的公司。

這家公司現在處於火熱的狀態,2017年前三季度實現營業收入12.57億元,增長87.3%, 凈利潤2.53億元,增長95.33%。

不過晶盛光電增長火爆的原因並不是因為半導體設備,而是因為光伏部分單晶矽組件由於成本降低逼近多晶矽,所以市場占比在上揚,而晶盛光電以單晶爐為核心的單晶矽生產設備方面占有優勢,晶盛光電是國內最大規模出貨單晶爐設備的廠家,占據了絕對份額。

今年以來晶盛光電在光伏領域的單晶爐,晶體生長設備等拿到了不少大單,帶動了公司增長。

實際上,根據晶盛機電的前三季度財報,2017年公司新簽訂的合同總額已經有30億人民幣了,相比公司前三季度僅僅12.57億人民幣的營收,晶盛機電還將保持高速增長。

而我們關心的半導體設備嘛,按照2017年10月30日晶盛機電接受機構調研的表示,2017年以來接到的總半導體設備訂單才剛剛達到1.3億元,這還是在較2016年有大幅增長的前提下。

而且晶盛光電的半導體設備,主要是集中在晶體生長爐,單晶矽加工領域

所以晶盛光電在半導體領域還需要時間,不過也有非常可喜的進步,就是晶盛機電獲得了全球十大矽片供應商之一的台灣合晶科技8000萬人民幣的設備訂單。

合晶科技把高純度的半導體級矽加工成200mm的矽片,也就是說要把一堆散裝的矽料製作成圓形的矽片,這個過程需要購買生產設備。

晶盛機電提供的還是半導體級單晶爐,也就是把高純度矽做成晶棒並且摻入必要的成分。

嗯,我們記住,矽片領域日本最強,美國,台灣也有不少矽片供應商,但是至少現在,部分矽片的生產設備我們已經進入了,這是我國在光伏領域突飛猛進的傳導作用。

2017年10月31日,晶盛機電公司公告與中環股份以及無錫市政府簽訂合作協議,計劃在江蘇宜興建設集成電路用大矽片生產與製造項目,總投資30億美元,第一期投資15億美元。

國內現在嚴重缺乏大矽片項目,處於被日本台灣韓國卡脖子的狀態,目前矽片日本信越,日本SUMCO,台灣環球,德國Siltronic,韓國SKSiltronic五家壟斷了98%的份額,其中日本的兩家占了全球60%。

該項目基本可以確定會從晶盛機電採購生產設備,這是巨大的利好,預計2018年以後晶盛機電的半導體生產設備出貨將會大幅上升,當然預計主要是以單晶爐為主。

3 / 北方華創科技集團

第三位的深圳捷佳偉創新公司還是以光伏生產設備為主要業務。

第四位來了,北方華創科技集團,這家公司是我國半導體設備生產的規模最大的公司,它是在2015年由北方微電子和七星電子重組而成,是國家隊的一員,也是帶頭大哥。

當然,北方華創2017年也趕上了單晶矽組件爆發的東風,簽了不少來自光伏設備的訂單,例如2017年與隆基股份簽訂的單晶爐設備就有8.57億元。

隆基股份相比大家都很熟悉了,由於專注於單晶技術,是全球光伏產業經營狀況最好的公司,凈利潤遙遙領先

北方華創2017年上半年實現營業總收入10.45億元,同比增長48.87%,歸屬於上市公司股東的凈利潤5,247.13萬元,同比增長29.09%。

嗯我們注意到了凈利潤真的有點少,當然這跟研發投入高有關係,北方華創的研發投入強度非常高。

公司主要產品為電子工藝裝備和電子元器件

2017年上半年公司電子工藝裝備主營業務收入6.9億元,比上年同期增長44.28%。

其中半導體設備主營業務收入5.77億元,比上年同期增長41.35%,真空設備主營業務收入8,807.01萬元,比上年同期增長260.78%,新能源鋰電設備主營業務收入2,631.97萬元,比上年同期下降43.50%。

電子元器件主營業務收入3.47億元,比上年同期增長59.14%。

我們注意下其中半導體設備的收入增長速度超過40%,占北方華創總收入的比例是大約60%左右,這個增長速度是驚人的,意味著兩年翻一倍。

實際上,北方華創目前和所有國內大廠都有合作,比如我們正在武漢和南京如火如荼建設的長江存儲公司,3D NAND FLASH產線的氧化爐設備就有採用北方華創的產品,2017年11月搬入產線。

注意,七大生產設備區域中的擴散,其實就是用氧化爐來完成的。

另外長江存儲還購買了北方華創的刻蝕機和PVD機台(物理氣相沉積,屬於薄膜沉積設備的一種),也就是說,國產集成電路設計製造的發展,也給北方華創帶來了新的機遇。

在氧化爐領域,2017年11月30日,北方華創下屬子公司北方華創微電子自主研發的12英寸立式氧化爐THEORISO 302 Move In長江存儲生產線,應用於3D NAND Flash製程,擴展了國產立式氧化爐的應用領域。

THEORISO 302立式氧化爐具有先進的顆粒控制技術、高精度溫度場控制技術、先進的微環境氧含量控制技術、後期維護費用低等特點,具備12英寸晶圓干氧氧化、濕氧氧化、DCE氧化等工藝能力,主要應用於邏輯電路、DRAM、NAND等產品工藝製程,成為長江存儲的POR(Process of Record)機台(擴產優先採購機台)。

該款氧化爐在進入長江存儲產線之前,已經批量應用於中芯國際、上海華力晶片生產線。

當然我們又一次看到了,北方華創的氧化爐客戶,中芯國際,上海華力微,長江存儲,都是國產廠家。

在刻蝕機領域,按照材料來分,主要有三種:金屬刻蝕機,矽刻蝕機,介質刻蝕機

在矽刻蝕機領域,在2003年啟動研製時,中國和國外差距在20年以上,僅僅能夠製造90nm製程,在國家02專項的支持下,北方華創在矽刻蝕機領域不斷實現突破,先進位程工藝一路上揚,28nm,22nm都實現了突破,2016年研發出了14nm工藝的矽刻蝕機

目前中芯國際在研發的14nm工藝,就在驗證使用北方華創的矽刻蝕機,這是有里程碑意義的

因為中芯國際作為中國最好的集成電路製造商,正在努力實現28nm的全面量產,而其在研的最先進工藝就是14nm,這意味著在矽刻蝕機領域,國產設備和國產集成電路製造已經實現了製程同步,也就是說,至少在這個領域,以往本來就不先進的國產集成電路製造工藝,還不得不放慢腳步等待國產設備技術進步的尷尬局面已經消失。

實際上,2017年北方華創已經在研發更先進的7nm矽刻蝕機。

也就是說,至少在刻蝕機裡面的「矽刻蝕機」這個領域,我們離世界頂尖水平的差距其實並沒有差太遠,當然我們也要看到,僅僅是造出來進行產線驗證,跟實現市場占有率領先還是兩回事,更何況目前也只是14nm而已,和最好的水平還是有差距。

刻蝕機裡面還包括金屬刻蝕機,2017年11月,北方華創研發的中國首台適用於8英寸晶圓的金屬刻蝕機,也成功搬入中芯國際的產線,這個也是有重大突破意義的,當然主流的12英寸晶圓的金屬刻蝕機,我們還得努力實現突破,晶圓尺寸越大,成本降低越大

除了氧化爐和刻蝕機領域以外,北方華創在PVD設備(物理氣相沉積,薄膜沉積設備的一種)和單片退火設備領域也實現了批量出貨,目前主要在28nm級別。

單片退火設備主要是和離子注入機配合使用,實現對離子注入後矽片被損傷的原子結構的修復,本文前面已經提到,離子注入機中國電科做的比較好。

在薄膜沉積設備領域,北方華創進展較快,多種14nm的生產設備也在產線驗證中,包括ALD,AL PVD,LPCVD,HM PVD等,基本都是不同的沉積設備,目的是製作氧化薄膜,便於絕緣,和控制不同的雜質擴散速度,或者金屬化,PVD是物理氣相沉積,CVD是化學氣相沉積,ALD是原子層沉積,他們的工作原理不同,但是目的是一樣的。

所以我們看出來了,七大類生產設備中,北方華創主要是氧化爐,鍍膜設備(各種沉積設備)和刻蝕設備(矽刻蝕機和金屬刻蝕機)三大類

當然我們注意,刻蝕機裡面的介質刻蝕機北方華創並沒有涉及。

除了這三大類設備外,北方華創還有第四種關鍵設備:清洗機

2017年8月7日,北方華創1500萬美元,也就是才1億人民幣多點實現了對美國Akrion公司的收購。

Akrion公司是位於美國賓夕法尼亞州的一家專注於矽片清洗設備業務的公司,主要用於集成電路製造領域,矽晶圓製造領域、微機電系統和先進封裝領域,該公司擁有多年的清洗技術積累和廣泛的市場與客戶基礎,累計在線機台千餘台。

北方華創自研的12英寸單片清洗機產品主要應用於集成電路晶片製程,成功收購Akrion公司,北方華創微電子的清洗機產品線將得以補充,形成涵蓋應用於集成電路、先進封裝、功率器件、微機電系統和半導體照明等半導體領域的8-12英寸批式和單片清洗機產品線。

實際上,根據北方華創2017年披露的2016年年報,其自研的12英寸清洗機到2016年底的累計流片量已突破60萬片,收購Akrion之後,北方華創的實力進一步加強。

在七大類關鍵設備中,北方華創涉及到了4種,這是中國實力最強的。

4 / 中微半導體

第五位就是著名的中微半導體了,按照中國半導體行業協會的統計,他們2016年的銷售額4.846億人民幣。

不過按照中微自己的統計,他們估計2017年銷售額能夠達到11.8億元人民幣,增長80%,這兩個數字不太對得上。

不過無論如何,我們知道中微2017年的銷售額大概是11億人民幣左右這個水平

2017年1月6日,以美國政府首席科學顧問John P. Holdren和布洛德研究所(Broad Institut)總裁Eric S. Lander為首的美國總統科學技術諮詢委員會(President’s Council of Advisors on Scienceand Technology,簡稱PCAST)發表了名為《Ensuring Long-Term U.S. Leadership in Semiconductor》/《確保美國在半導體領域的長期領導地位》的報告。

該報告裡面提到中國的集成電路製造設備生產商,說中國沒有tier 1的設備製造商,但有一家tier 2的就是中微半導體

中微半導體是尹志堯創辦,他之前是全球最大的半導體生產設備商應用材料公司的副總裁,回國創辦中微。

從今年的銷售額來說,中微和北方華創在半導體設備領域的銷售都會大約在10-11億人民幣左右,似乎可以把兩者並列成為半導體設備兩個希望

但是實際上,總體來說,北方華創要強得多,產線範圍也要寬的多。

中微的產品,主要是三大領域一個是LED晶片的MOCVD機台,這個是LED晶片製造的核心設備,以致於衡量晶片廠家的製造能力,都是用它有多少MOCVD機台來衡量,機台數量越多的產能越大。

目前國內LED晶片產業在高速發展,三安光電,華燦光電等公司逐漸脫穎而出,逐漸進行進口替代,曾經LED燈裡面的晶片中國是100%需要進口的,然而現在這種情況已經徹底改變,2016年LED晶片國產率提升至76%,達到了106億元,進口則為33億元。

隨著大陸廠商產能不斷釋放,2016年大陸晶片廠產值同比成長13%。

作為中國LED晶片的龍頭企業三安光電,2017年底估計擁有多少台MOCVD呢,大約450台。

三安的目標是2018年全球市占率提升到22%,可謂野心勃勃。

在MOCVD設備商方面,中微半導體在2017年10月宣布,其MOCVD設備Prismo A7機型出貨量已突破100台,邁向重要里程碑,該款MOCVD是在2016年才推向市場的,由於持續接到新訂單,中微預計2017年底可望出貨約120台MOCVD設備。

整個中國大陸市場2017年預計新增的MOCVD為大約200台,按照中微半導體的出貨量,國內市場占有率可以達到30%-40%甚至以上,這是非常不錯的成績。

當然中微的MOCVD主要還是在國內賣,美國的VEECO德國的愛思強(沒錯就是曾經被中國資本試圖收購,但是被美國人否決的德國愛思強)兩巨頭在全球MOCVD市場還是總體占有技術優勢和份額領先優勢。

例如三安光電在2016年以前購買的MOCVD機台,基本不是VEECO的就是愛思強的。

VEECO和愛思強曾經占據了全球MOCVD 90%的市場份額。

國內也有不少公司在做MOCVD。

國產MOCVD設備從2012年底研發成功,到2016年開始完成批量驗證,目前處於迅速上升的態勢,經過不斷洗牌,目前國產廠家中中微半導體和中晟光電在國產中較為領先,2016年占據國內市場11%的份額,由於技術驗證已經完成,2017年國產MOCVD市場份額將出現暴增的局面

在國際巨頭VEECO和愛思強兩家中,愛思強目前已經逐漸式微,其產品因為技術原因不滿足三安光電的需求,導致其喪失了三安這一全球最大客戶。

愛思強已經處於掉隊的狀態。

國際上唯一的強敵是美國VEECO公司

VEECO現在在和中微半導體在中國和美國同時在打官司,實際上也是VEECO試圖捍衛自己的領先地位,感受到了來自中微的強大威脅,不過中微也在積極應戰,中微在技術方面自主研發的態度一直比較堅定,過去十年中微的各種專利官司,不管是國內還是國外,保持全勝。

由於中國已經逐漸掌握了LED生產各個環節的技術,在晶片、封裝等領域都在迅速走強,出現了一批具備世界競爭力的龍頭企業,從長期來看,MOCVD設備領域中微和中晟逐漸取得優勢地位,VEECO走向衰落是大勢所趨,這個先進技術產業向中國轉移是不可逆轉的趨勢

中微半導體的另外一個領域主要是其最早開始研發,具有多年經驗的介質刻蝕機,這個目前是用在集成電路晶片製造上面,目前已經可以做到22nm及其以下,且中微半導體的14nm也在產線進行驗證,同時在推進5nm的聯合研究。

2017年早些時候,網絡上流傳的中微研發出了5nm製程的刻蝕機,不少媒體開始說中國掌握5nm生產設備技術,實際上刻蝕機只是集成電路製造中的一類設備,而刻蝕機根據材料的不同,分為矽刻蝕機,介質刻蝕機和金屬刻蝕機三類

相比北方華創在矽刻蝕機和金屬刻蝕機的不斷突破,而中微只是在介質刻蝕機領域比較強,因此這個5nm實際上應該是中微在研的介質刻蝕機。

另外如中微的聲明所言,先進的製程不可能是由設備廠家單獨完成的,而是設備和製造廠家共同研發攻關的結果,不然中芯國際和台積電還有什麼技術可言。

除了集成電路晶圓製造領域以外,中微還有一類是矽通孔刻蝕設備,主要用在集成電路晶片的先進封裝上,也就是是封裝設備,像長電科技這樣的封裝廠家會購買。

目前總體而言,中微處於比較好的發展態勢,MOCVD機台已經經受住了量產的考驗,將會迎來大批量出貨時期,其多年來集中力量攻關的等離子體介質刻蝕機,已經在國際大厂部署多年,同時目前也開始進入最先進的5nm製程的預研

未來幾年中微還將保持高速增長。

當然我們也要注意,三四年內,中微還是一家銷售收入不到20億人民幣的小公司。

5 / 上海微電子公司

看完了前五名,我們總覺得少了點什麼,北方華創在氧化爐,清洗機,單片退火設備,矽刻蝕機,金屬刻蝕機,以及各種沉積設備上都有了突破,中微半導體也有介質刻蝕機。

中國電科則有了CMP和離子注入機。

七大類設備的六種都有了,那麼最核心的光刻機呢

目前,光刻機領域荷蘭ASML已經占據了大約80%的市場份額,壟斷了高端光刻機市場,日本尼康在高端光刻機上已經徹底敗退, Intel、台積電、三星,格羅方德、聯電以及中芯國際等晶圓廠的光刻機目前基本是來自ASML。

最先進的EUV光刻機全球僅有ASML能夠生產,ASML在2016年下半年出售的兩台EUV光刻機,單價都超過1億美元,而落後EUV一代的ArF光刻機平均售價也在四五千萬歐元左右。

可以說光刻機是集成電路製造領域最後的皇冠

在國內半導體生產設備排名第六的上海微電子公司,2016年半導體設備收入2.9億元,該公司就具備研發和製造光刻機的能力,也是國內唯一的一家從事光刻機研發製造的公司,然而很遺憾,目前只能做到90nm。

下圖為上海微電子的SSA600/20 ArF光刻機外觀,兼容200mm和300mm矽片。

目前業界主要製程工藝,基本已經在65nm以下,上海微電子目前也在進行65nm製程工藝光刻機的研發,但是研發進度不得而知,事實上,我們也不要抱有太高的信心,因為上海微電子還是一家小公司,目前我國也還沒有到完全攻克光刻機技術的這一步,即使是已經在產線驗證的各種沉積設備和刻蝕機,我國設備商的市場占有率也還非常低,更不要說還沒有研發出來的65nm光刻機。

雖然在集成電路製造領域的光刻機上海微電子還是路漫漫,但是至少在集成電路後段的封裝領域的光刻機,上海微電子已經出頭了,事實上,上海微電子是國內唯一的先進封裝光刻機設備供應商

集成電路的封裝使用的光刻機,並不需要很高的精度,達到1-2微米(1000-2000nm)就可以使用,上海微電子研發製造的500系列步進投影光刻機,面向IC後道封裝和MEMS/NEMS製造領域,國內市場占有率達80%以上。

主要技術參數

另外上海微電子還開發了針對LCD、 LED領域的光刻機,這些領域的光刻機應用也並不需要很高的精度。

藉助我國在LCD顯示面板, LED,集成電路封裝等領域的突飛猛進,上海微電子先從這些領域的發展受益,獲取利潤,才能有真正的能力投入去研發更高等級的集成電路製造用的光刻機。

在液晶顯示面板領域應用的核心的生產設備光刻機,也叫曝光機這個領域幾乎100%被日本佳能和尼康兩家壟斷,但是上海微電子也在這方面不斷取得突破,上海微電子耗資數億元為天馬研製了兩台4.5代曝光機並已在量產線上使用。

2017年12月28日,上海微電子自主研發的首台平板顯示6代投影曝光機正式發運到客戶,一舉打破尼康和佳能的壟斷成為全球第三家具備量產6代投影曝光機能力的公司,有力提升了我國高精密零件製造能力。

不管怎樣,以光為核心和技術發展主線的上海微電子,是目前中國光刻機發展的唯一產業化力量,這個力量目前還非常弱小,短期內,上海微電子肯定還是要藉助先進封裝光刻機、LED和LCD用光刻機來獲取利潤進行發展,至於集成電路製造光刻機這個皇冠,上海微電子只要能有所進步,比方說明年或者後年研發出65nm工藝的光刻機就是勝利,不要追求十年內能趕上ASML

但是也不要灰心,按照下游帶動上游的發展規律,如果最上游的核心生產設備光刻機都造出來了,那就說明基本上整個半導體產業,從上游到下游的技術中國就全部吃透了,那麼這一天就是歐美日韓的末日

6 / 盛美半導體

排名第七的北京京運通,第八的天通吉成都是光伏設備為主

第九位的盛美半導體由入選國家千人計劃的王暉博士創立。

王暉博士1978年考入清華大學精密儀器系,之後留學日本和美國,2017年11月3日,盛美半導體在美國納斯達克上市,這也是上海張江首家由歸國留學人員創辦並且到美國上市的半導體設備公司。

這家公司事實上創辦於矽谷,但是研發和核心人員都在上海。

營業收入也不高,2016年大約1.64億人民幣。

盛美半導體專攻矽片的清洗,隨著集成電路製造工藝的不斷進步,在製造過程中,由於對矽片的不斷處理,幾乎每個步驟之後都要進行矽片清洗,去除顆粒物和雜質。

尤其是目前晶片結構從2D走向3D,清洗難度更高。

ACM(盛美半導體)主打Smart Megasonix清洗技術,該技術中的SAPS技術最高可以應用於65nm製程的矽片清洗,另外TEBO技術矽片清洗系列產品,可以實現對FinFET, DRAM, 3D NAND,實現覆蓋16nm-19nm的製程,根據2017年7月的報導,基於該技術的清洗機已經批量應用於上海華力微電子的產線。

實際上,海力士,中芯國際,華力微電子的產線都重複下單購買盛美半導體的清洗機。

由於盛美的清洗技術可以同時應用於2D和3D圖形結構,預計生產3D NAND FLASH和DRAM的長江存儲公司也會購入盛美半導體的矽片清洗機。

2017年5月,盛美半導體在合肥投資3000萬美元建立研發中心,事實上就是和合肥長鑫睿力集成兆易創新一起組團開發DRAM技術。

目前盛美半導體預計單片清洗設備市場總共大約27億美元,相對於盛美目前的營收,還是有很大的增長空間。

當然我們知道清洗機領域除了盛美以外,還有北方華創,因此在清洗機國產化道路上,盛美半導體並不孤單。

7 / 深圳格蘭達

第十名的深圳格蘭達,主要是一些集成電路製造的外圍非核心生產設備,包括雷射標刻,晶圓檢測等等,規模也很小,1.5億人民幣左右。

3 / 弱小並不可怕,怕的是完全沒人去做這個事情

我們做下總結,也就是集成電路製造設備幾個主要的點。

一、最大的兩家是北方華創和中微半導體,2017年的營收都是11億人民幣左右。

北方華創半導體出貨增長超過40%,中微半導體增速更快,達到80%,主要是因為LED用的MOCVD出貨爆發。

其中北方華創是國內最全面的生產設備廠家,涵蓋了各種沉積設備,刻蝕機,氧化爐和清洗機;中微半導體在LED的MOCVD設備進展很大,但在集成電路製造領域還主要局限於介質刻蝕機。

二、上海微電子是唯一的光刻機玩家。

三、中國電科裝備是國家隊,在離子主機和CMP化學拋光機兩個領域不斷突破。

四、晶盛機電的半導體設備將會異軍突起,不過現在規模還很小,只有1億人民幣。

五、盛美半導體專攻矽片清洗機,技術上直追國際廠家,但是規模也很小,不到2億人民幣。

六、2017年中國電科首次研發出了200mm CMP拋光機,北方華創首次研發出了金屬刻蝕機,目前都已經在中芯國際產線上進行驗證。

同時LED晶片製造用的MOCVD設備國產廠家在2017年迎來了爆發性增長。

再次注意,以上國產集成電路生產設備廠家,主要客戶還是在國內,具體的說就是中芯國際,華力微電子等廠家

中芯國際、上海華力微、長江存儲、合肥長鑫、杭州士蘭微等國產集成電路製造廠家的發展,直接關係到甚至是決定著上游國產設備廠家的發展。

由於國內集成電路製造廠家都還很弱小,例如最大的中芯國際的營收只有台積電的10%,所以大大限制了國產設備廠家的規模。

但是隨著全產業鏈的進步,國產設備廠家每年20-30%的增速將會保持,大概三年左右翻一倍。

不過按照現在的份額和增速,即使到2025年,國產設備占全球市場比例也就是10%左右,樂觀點可能會到15%。

可以說是趕上荷蘭的水平,離美國日本還是有差距

從另一方面講,我們也可以說自己是全球四強。

也就是說,即使到那個時候,中國也只是剛剛成了一個主流玩家,但是要徹底的擊敗美國、日本、荷蘭,還有很長的路要走,尤其是光刻機,最核心最昂貴的設備,現在反而差距最大

中芯國際現在在尋求28nm高階製程量產台積電已經量產10nm了,這個差距已經夠大了,然而光刻機我們還停留在90nm的水平,不僅如此,上海微電子還是一家小公司,本身實力不足以支撐高額資本投入的研發,當如果其母體上海電氣集團決定大規模資金投入,又是另外一回事。

從另一方面來講,我們也要有信心,如果把集成電路最上游的生產設備我們都徹底吃透了,那麼說明中國已經徹底站到了電子工業的最頂端了,那麼憑著海量的生產能力以及超強的成本控制能力,其他國家會迅速喪失市場份額。

從集成電路製造的生產設備來看,所有的設備我國都有廠家在攻關,只是由於技術難度和產線驗證原因有先有後。

七大類設備中國電科、北方華創、中微半導體、上海微電子、盛美半導體組成的集團全部都有涵蓋,所謂星星之火,可以燎原,弱小並不可怕,怕的是完全沒有人去做這個事情

試想一下,再用一二十年時間,從最上游的集成電路設備,到集成電路製造,到集成電路設計,封測,到中游的零部件,到下游的消費電子終端的設計,研發,品牌,以及在終端運行的各種軟體應用全部被中國掌握的話,那我國就是毫無疑問的頂端國家了。

而這一切,至少從現在往未來看,已經隱約看得見了。

版權聲明:製造界除發布原創文章外,亦致力於優秀文章的交流分享。

轉載須詳細註明文章的來源和作者;申請轉載授權請在文末或後台留言。

版權所有,違者必究。


請為這篇文章評分?


相關文章 

中國半導體設備產業正經歷 「四大挑戰」

中國國內已經形成完備的半導體設備產業,在封測和LED設備領域,國產替代化比例逐漸升高;但在技術要求苛刻的晶圓製造領域,目前還主要依賴進口設備。高端製造設備的乏力與中國高速增長的市場需求不相匹配,...

半導體設備迎來歷史性機遇!

導讀:半導體設備迎來歷史機遇,預計2018-2019是行業增長高峰期。如果中國的集成電路產業想要超越國外水平,半導體設備技術必須提高。目前國內龍頭企業盈利能力不強,但戰略意義重大,重點推薦北方華...