國產光刻機水平究竟如何?

文章推薦指數: 80 %
投票人數:10人

更多優質內容請關注「AI 應用前沿」

半導體晶片製作分為 IC 設計、 IC 製造、 IC 封測三大環節, 光刻作為 IC 製造的核心環節,其主要作用是將掩模版上的晶片電路圖轉移到矽片上。

由於光刻的工藝水平直接決定晶片的製程水平和性能水平,光刻成為 IC 製造中最複雜、最關鍵的工藝步驟, 光刻的核心設備——光刻機更是被譽為半導體工業皇冠上的明珠。

IC 製造工藝流程

什麼是光刻?

光刻工藝是指光刻膠在光照作用下,將掩模版上的圖形轉移到矽片上的技術。

光刻的原理起源於印刷技術中的照相製版,是在一個平面上加工形成微圖形。

在半導體晶片製作過程中, 電路設計圖首先通過雷射寫在光掩模版上,然後光源通過掩模版照射到附有光刻膠的矽片表面,引起曝光區域的光刻膠發生化學效應,再通過顯影技術溶解去除曝光區域或未曝光區域,使掩模版上的電路圖轉移到光刻膠上,最後利用刻蝕技術將圖形轉移到矽片上。

光刻膠極性與效果示意圖

光刻根據所採用正膠與負膠之分,劃分為正性光刻和負性光刻兩種基本工藝。

在正性光刻中,正膠的曝光部分結構被破壞,被溶劑洗掉,使得光刻膠上的圖形與掩模版上圖形相同。

相反地,在負性光刻中,負膠的曝光部分會因硬化變得不可溶解,掩模部分則會被溶劑洗掉,使得光刻膠上的圖形與掩模版上圖形相反。

普通光刻技術(正性光刻)

為了追求更小的工藝節點,在普通光刻之上已開發出多重圖案光刻工藝,用來增加圖案密度, 最簡單的多重圖案工藝是雙重圖案,它將特徵密度提高了兩倍。

最廣泛採用的雙圖案化方案之一是雙曝光/雙蝕刻(LELE)。

該技術將給定的圖案分成兩個密度較小的部分。

通過在光刻工藝中曝光光刻膠,然後蝕刻硬掩模,將第一層圖案轉移到下面的硬掩模上。

然後將第二層圖案與第一層圖案對準並通過第二次光刻曝光和刻蝕轉移到硬掩模上。

最終在襯底上進行刻蝕,得到的圖案密度是原始圖案的兩倍。

雙重圖案技術

自對準雙重圖案(SADP)技術是通過沉積和刻蝕工藝在心軸側壁上形成的間隔物。

然後通過一個額外的刻蝕步驟移除心軸,使用間隔物來定義所需的最終結構,因此特徵密度增加了一倍。

SADP 技術主要用於 FinFET 技術中的鰭片形成、線的互連以及存儲設備中的位線/字線的形成,其關鍵的優點在於避免了在 LELE 期間時可能發生的掩模不對齊。

雙重圖案技術中的自對準間隔技術

將 SADP 加倍可以得到四重圖案化工藝 (SAQP)。

193nm 浸沒式光刻的 SADP 可以實現~20nm 的半間距解析度,但是 SAQP 可以實現~10nm 的半間距解析度。

自對準間隔技術的四重圖案化

光刻工藝定義了半導體器件的尺寸, 是 IC 製造中的關鍵環節。

作為晶片生產流程中最複雜、最關鍵的步驟,光刻工藝難度最大,耗時最長,晶片在生產過程中一般需要進行 20~30次光刻,耗費時間約占整個矽片工藝的 40~60%,成本極高,約為整個矽片製造工藝的 1/3。

一般的光刻工藝要經歷矽片表面清洗烘乾、塗底、旋塗光刻膠、軟烘、對準曝光、後烘、顯影、硬烘、 刻蝕、檢測等工序。

光刻機:光刻工藝的核心設備

光刻機是光刻工藝的核心設備,價值含量大、技術要求高。

光刻是 IC 製造中的關鍵環節,工藝難度最大,對技術和設備的要求也最高。

光刻機作為光刻環節的核心設備,也是所有半導體製造設備中技術含量最高的設備,涉及精密光學、精密運動、高精度環境控制等多項先進技術,其設備投入相應最多,目前世界上最先進的 ASML EUV 光刻機單價達到近一億歐元。

光刻機工作原理圖

光刻機工作原理: 光刻機是一種投影曝光系統,由紫外光源、光學鏡片、對準系統等部件組裝而成。

在半導體製作過程中,光刻設備會投射光束,穿過印著圖案的掩模及光學鏡片,經物鏡補償各種光學誤差, 將線路圖曝光在帶有光感塗層的矽晶圓上, 然後使用化學方法顯影,得到刻在矽片上的電路圖。

在光刻機內部結構中,雷射器作為光源發射光線,物鏡系統補償各種光學誤差,是光刻機的核心設備,也是光刻機造價昂貴的重要原因,光刻機物鏡系統一般由 15~20 個直徑為 200~300mm 的透鏡組成。

光刻機價格路線圖

按半導體製造工序分類,光刻設備有前道和後道之分。

其中前道光刻機又可根據下游適用產品分為面板光刻機和晶片光刻機,而後道光刻機則為封裝光刻機。

封裝光刻機對於光刻的精度要求遠遠低於前道光刻要求,因此價值量也較低,不屬於本文探討之列。

而面板光刻機與晶片光刻機工藝類似,只不過不再作用於晶圓而是作用於薄膜電晶體,對技術精度要求不如後者,只需要達到微米級即可。

本文主要關注 IC 前道製造光刻技術的演變。

尺寸更小的晶片,在電子速度一定的情況下,信號傳遞的速度就會越快,在一定時間內傳輸的信息就會越多。

隨著晶片尺寸的變小,相同面積下可以承載更多的電晶體,高集成度則意味著晶片的高性能。

可見電晶體的尺寸對於晶片的性能具有重大意義,而光刻機決定了電晶體的尺寸。

隨著半導體產業的向前發展,不斷追求著尺寸更小、速度更快、性能更強的晶片,摩爾定律提出:當價格不變時,集成電路上可容納的元器件的數目,約每隔 18-24 個月便會增加一倍,性能也將提升一倍。

正是半導體行業對於晶片的不斷追求推動了光刻機產品的不斷升級與創新。

光刻機曝光分類

按曝光方式分類, 光刻機可分為直寫式光刻、 接近接觸式光刻和投影式光刻三種。

直寫式由於曝光場太小,通常用於製作掩模板;接近接觸式是指光刻膠與掩模板接觸或略有縫隙,受氣墊影響,成像精度較低;投影式是指在掩膜板與光刻膠之間使用光學系統聚集光實現曝光,進一步提高解析度。

晶片追求更快的處理速度,則需要縮短電晶體內部導電溝道的長度,而光刻設備的解析度決定了 IC 的最小線寬。

因而,光刻機產品的升級就勢必要往更小解析度水平上發展,光刻機演進過程是隨著光源改進和工藝創新而不斷發展的

光刻機設備進階歷程

根據所用光源改進和工藝創新,光刻機經歷了 5 代產品發展,每次改進和創新都顯著提升了光刻機所能實現的最小工藝節點。

前兩代均為接觸接近式光刻機,曝光方式為接觸接近式,使用光源分別為 g-line 和 i-line,接觸式光刻機由於掩模與光刻膠直接接觸,所以易受污染,而接近式光刻機由於氣墊影響,成像精度不高;第三代為掃描投影式光刻機,利用光學透鏡可以聚集衍射光提高成像質量將曝光方式創新為光學投影式光刻,以掃描的方式實現曝光,光源也改進為 KrF 雷射,實現了跨越式發展,將最小工藝推進至 180-130nm; 1986 年ASML 首先推出第四代步進式掃描投影光刻機,採用 ArF 雷射光源,通過實現光刻過程中掩模和矽片的同步移動和縮小投影鏡頭,將晶片的最小工藝節點提升一個台階。

步進掃描投影式光刻機

此外雙工作檯、沉浸式光刻等新型光刻技術的創新與發展也在不斷提升第四代光刻機的工藝製程水平,以及生產效率。

2001 年 ASML 推出了雙工作檯系統(圖 5),將測量、對準與光刻流程相分離,實現曝光與預對準同時進行,大幅提高了生產效率。

而浸沒式光刻工藝更成為 ASML 強勢崛起的轉折點。

光刻工藝結構對比簡圖

與傳統光刻技術相比,浸沒式光刻技術需要在光刻機投影物鏡最後一個透鏡下表面與矽片光刻膠之間充滿高折射率的液體,以提高解析度;目前主要有三種液體浸沒方法:矽片浸沒法,工作檯浸沒法,局部浸沒法,業界多採用局部浸沒法。

局部浸沒法示意圖

尼康、佳能由盛轉衰, ASML 強勢崛起。

在 45nm 製程下 ArF 光刻機遇到了解析度不足的問題,業內對下一代光刻機的發展提出了兩種路線。

一是開發波長更低的 157nmF2 準分子雷射做為光源, 二是林本堅(台積電研發副總經理)提出的浸沒式光刻。

45nm 製程下一代光刻技術兩種路線

2002 年以前,業界普遍認為 193nm 光刻無法延伸到 65nm 技術節點,而 157nm 將成為主流技術,但 157nm光刻技術同樣遭遇到了來自光刻機透鏡的巨大挑戰。

在時代的十字路口上, TSMC 提出了193nm 浸入式光刻的概念,尼康、佳能則倒向了開發波長更低的光源;隨著 ASML 與台積電合作開發,於 2007 年成功推出第一台浸沒式光刻機。

193nm 光波在水中的等效波長縮短為 134nm,足可超越 157nm 的極限,193nm 浸入式光刻的研究隨即成為光刻界追逐的焦點。

到 2010 年, 193nm 液浸式光刻系統已能實現 32nm 製程產品,並在 20nm 以下節點發揮重要作用,浸沒式光刻技術憑藉展現出巨大優勢,成為 EUV 之前能力最強且最成熟的技術。

從液浸式到 EUV,第五代光刻機迎頭趕上。

前四代光刻機使用都屬於深紫外光, ArF 已經最高可以實現 22nm 的晶片製程,但在摩爾定律的推動下,半導體產業對於晶片的需求已經發展到 14nm,甚至是 7nm,浸入式光刻面臨更為嚴峻的鏡頭孔徑和材料挑戰。

第五代 EUV光刻機,採用極紫外光,可將最小工藝節點推進至 7nm。

EUV 工作原理示意圖

EUV 的發展過程面臨有五大問題,這也是 EUV 造價極其昂貴的重要原因。

第一,真空環境約束。

光蝕刻系統製造的精細程度取決於很多因素。

但是實現跨越性進步的有效方法是降低使用光源的波長。

幾十年來,光刻機廠商的做法都是將晶圓曝光工具從人眼可見的藍光端開始逐漸減小波長,直到光譜上的紫外線端(UV)。

ASML 最終選擇的 13.5nm 波長射線,可以輕易地被很多材料吸收,所以 EUV 光刻機只能在真空下運行。

第二,彎曲射線。

由於 EUV 能被玻璃吸收,所以必須在機器中改變其走向,如此一來則必須用反射鏡來代替透鏡,而且必須使用布拉格反射器(一種多層鏡面,可以將很多小的反射集中成一個單一而強大的反射)。

第三,強大光源。

一個 EUV 光束在經過長途跋涉後,只有不到 2% 的光線能保留下來。

為了減少成本,射線光源必須足夠強,這個強度需要達到中心焦點功率達到 250W。

這種強度的光可以使機器每小時處理約 125 個晶片,其批量處理的效率僅有現今使用的高級193nm 技術的一半。

目前全球最領先的技術也不過是能夠在實驗室中實現 200W 功率(ASML2017 年上半年實現)。

第四,獨特光刻膠。

現有的光刻膠是化學放大光刻膠,由分子鏈聚合而成,可以增強入射光子的效果。

但這些材料對 EUV 的吸收效果並不好。

此外,由於入射光引起的放大反應在材料內部散射,光刻膠形成的圖像會有輕微模糊。

第五,保護掩模板。

在 193nm 液浸式光刻機中,掩模版由一層被薄膜(即護膜)保護著,這層薄膜距離掩模版有一點懸空的距離,像保鮮膜一樣緊繃在上方,其作用在於當灰塵落在護膜上時影響聚焦而不能在晶圓上形成圖案,因此不會損壞整個晶圓。

但 193nm 的護膜不適用於 13.5nm 的光, EUV 會損壞護膜,若不使用護膜則很可能是最終良率為 0。

所以解決這個難題的關鍵在於研究製造出能夠抵抗 EUV 破壞的護膜。

EUV 研發五大難題

事實上, ASML 從 1999 年就已開始 EUV 光刻機的研發工作,但由於上述五大難題,難以支付高昂的研發費用,其三大客戶三星、台積電和英特爾加大投資 52 億歐元,積極支持 EUV 的研發和生產。

原計劃在 2004 年推出產品,直到 2010 年 ASML 才研發出第一台EUV 原型機, 2016 年才實現下遊客戶的供貨,比預計時間晚了十幾年,也正是這一滯後使得摩爾定律的更替時間從理論上的 18-24 個月延長至 3-4 年。

目前, ASML 在 EUV 技術上具有絕對領先地位。

光刻機上下游市場。

從光刻機結構來看,它由光源、光學鏡片和對準系統等部件組成,其工藝中十分關鍵的兩個元素是光刻膠和掩膜版。

而光刻處理後的晶圓片再經刻蝕和沉積等過程製成晶片成品,用於電腦、手機等各種設備之中。

下游旺盛的終端市場需求決定了光刻設備必然也面臨巨大的需求。

目前光刻系統市場供給遠遠不足需求,很重要的原因在於上游原材料/部件精度不符要求,譬如上文總結出的 EUV 面臨的五大問題(光源功率、掩膜版、光刻膠、鏡頭等)都是上游技術難關。

除了來自蔡司的鏡頭的供應不足之外,還有設備上的晶片保護膜仍需要改進。

此外,光刻作用基礎矽片/矽基材純度要求極高,通常 11 個 9(即99.999999999%)的級別以上。

光刻設備廠商的下遊客戶主要在於存儲和邏輯晶片製造商。

我們認為未來下游內存市場需求將繼續保持強勁,存儲晶片尤其是 DRAM 價格仍然持續增長。

光刻機上下游市場產業鏈及關鍵企業

全球局勢:三分天下,高端市場一家獨大

從全球角度來看, 高精度的 IC 晶片光刻機長期由 ASML、尼康和佳能三家把持, 從2011-2017 歷年全球光刻機出貨比例可以看出, ASML,尼康,佳能三家公司幾乎占據了 99%的市場份額,其中 ASML 光刻機市場份額常年在 60%以上,市場地位極其穩固。

2011-2017 年全球光刻機出貨比例

頂級光刻機市場 ASML 一家獨大。

2011-2017 年頂級光刻機累計出貨量中, EUV 完全由 ASML 壟斷,出貨來源達到 100%, ArFi 光刻機超過 80%也都由 ASML 提供。

英特爾、台積電、三星用來加工 14/16nm 晶片的高端光刻機均來自 ASML。

相對而言,尼康和佳能的先進位程遠落後於 ASML,主要市場在中低端,最大優勢僅在於成本,很多同類機型價格甚至低於 ASML 的 1/2。

2011-2017 年三大公司各品類累計出貨量(單位:台)


2011-2017 年光刻機各品類累計出貨量來源
2017 年光刻機各品類出貨量及來源(單位:台)

一)ASML:高端光刻機壟斷者


SML Holding NV(ASML)是世界領先的半導體設備製造商之一,總部位於荷蘭,向全球複雜集成電路生產企業提供領先的綜合性關鍵設備。

它為亞洲, 歐洲和美國的半導體生產商提供提供光刻機及相關服務。

它還為客戶提供一系列的支持服務,包括先進的工藝和產品應用知識,並以二十四小時服務支持。

2006 年, ASML 交付第一台光刻機; 2007 年成功推出第一台浸沒式光刻機 TWINSCANXT:1990i,採用折射率達到 1.44 的去離子水做為媒介,實現了 45nm 的製程工藝,並一舉壟斷市場。

當時的另兩大光刻巨頭尼康、佳能主推的157nm 光源乾式光刻機被市場拋棄。

ASML 產品升級歷程

外延併購,加速研發。

ASML 為加速 EUV 發展, ASML 於 2013 年 5 月以 31 億歐元收購 Cymer。

2016 年, ASML 終於實現首次發貨 EUV,並預計在 2018 年可實現最新的微處理器和存儲器的批量生產。

同時, 2016 年 6 月收購擁有最先進的電子束檢測技術廠商 HMI,與 ASML 現有曝光技術互補,有助於控制半導體產業良率。

2017 年,以 24.8%股權收購鏡頭老字號生產商卡爾蔡司,進一步為其 EUV 光刻設備的鏡頭部分提供競爭力。

公司的主要產品是光刻系統,也稱為掃描儀,有 PAS5500 和 TWINSCAN 系列產品,從低端到高端系列依次為 XT, NXT 和 NXE。

另外近年來還推出測量工具 YieldStar。

其技術實力在光刻設備領域遙遙領先, 根據半導體行業觀察數據, 45nm 以下的高端光刻機的市場中,占據 80%以上的份額,尤其在極紫外光(EUV)領域,目前處於壟斷地位。

公司主要系統型號及其工藝特徵

ASML 2018Q1 實現營收 22.85 億歐元,主要來自系統銷售,占比 73%;其中 ArF 浸沒式設備貢獻 72%的營收, KrF 型以 14%的貢獻居於第二。

平均來說, ASML 中高端設備單台售價超過 7000 萬美元,高端 EUV 設備單台售價超過 1 億美元。

從 Q1 業務拆分情況看出,高價值的 EUV 銷售量僅一台就貢獻 7%的營收,公司預計 2018 全年 EUV 收入將達到 21 億歐元。

從終端市場看來,主要下游市場在於存儲晶片,營收 1227 百萬歐元,占比達 53.7%,較之 2017 年的 32.8%有很大提升。

FY2017 前三大下游市場是韓國、台灣、美國,占比分別達到 34%、 24%、 17%,主要原因在於 ASML 的三大主要客戶為三星、台積電和英特爾。

大陸市場位居第四,營收占比為 10%,達 9.21 億歐元。

到 2018Q1, 格局發生了較大變化, 韓國市場比重躍升到 51%,主要原因在於三星加大 EUV 投資。

大陸市場購買高端機型的限制逐漸放開,占比提升到 20%,美國和台灣市場占比有較大下滑。

ASML 2013 年以來毛利率和凈利率

公司營業收入和凈利潤始終保持較高水平,但變化幅度較大。

自 2016 年真正意義上推出 EUV 設備後,營收和凈利潤實現大幅增長。

自 2013 年以來毛利率和凈利率均實現穩步增長, 18Q1 毛利率達 48.7%,凈利率達 23.6%。

其中系統設備尤其是光刻設備貢獻在各個季度均超過 60%, 2018Q1 設備營收環比有所下降, 但同比實現 37.2%增長 YoY。

光刻機訂單量與訂單額有類似的趨勢。

ASML 在光刻設備市場具有不可撼動的霸主地位,尼康和佳能難以與之抗衡的一大重要原因在於其積極研發和開放式創新發展思路,在新品研發和工藝改進上充分發揮其網絡創新優勢,比佳能和尼康的「孤島式」研發模式更具效率和靈活性。

(二)尼康:發揮面板光刻比較優勢

尼康是日本的一家著名相機製造商,成立於 1917 年,當時名為日本光學工業株式會社。

1988 年該公司依託其照相機品牌,更名為尼康株式會社。

最早通過相機和光學技術發家, 1980 年開始半導體光刻設備研究, 1986 年推出第一款 FPD 光刻設備,如今業務線覆蓋範圍廣泛。

尼康既是半導體和面板光刻設備製造商,同時還生產護目鏡,眼科檢查設備,雙筒望遠鏡,顯微鏡,勘測器材等健康醫療和工業度量設備。

在 FPD 光刻方面,尼康則可發揮其比較優勢, 尼康的機器範圍廣泛,從採用獨特的多鏡頭投影光學系統處理大型面板到製造智能設備中的中小型面板,為全球領先的製造商提供多樣化的機器。

尼康主要光刻設備產品及工藝

尼康 FY2017 營收 5.25 千億日元,同比下降 7.2%,在成像產品和精密設備(光刻設備)領域利潤均有增長,經營利潤達 4.15 百億日元,增長 123.2%,歸母凈利潤達 2.23 百億日元,增長 56.7%。

2018Q3 營收下降 11%,由於成像產品和 FPD 及晶片光刻設備單位產品銷售額下降,但成像產品業務高附加值產品和精密設備領域的重大技術突破帶來了經營利潤上漲。

預計全年營收下降 7%,主要在於 FPD 光刻設備單位產品銷售額下降,但成像產品和晶片光刻設備扭轉了上半年的敗局,使得經營利潤增加 124%。

尼康 FY2008 以來光刻設備營收及占比

尼康雖然在晶片光刻技術上遠不及 ASML,目前的產品還停留在 ArF 和 KrF 光源, 且售價也遠低於 ASML,和 EUV 更加難以相提並論。

但目前其盈利性也很大程度上依賴光刻設備,尤其是晶片光刻設備。

雖然研發投入也持續增長,但其中對於光刻設備的投入比重卻在下降。

(三)佳能:光電為主,光刻為輔

佳能是日本的一家全球領先的生產影像與信息產品的綜合集團, 1937 年憑藉光學技術起家、並以製造世界一流相機作為目標,此後逐漸進入複印機、印表機、光刻設備和機器視覺市場,如今業務已經擴展到各個領域並成功全球化。

佳能目前有四大業務線,即辦公設備(包括印表機、複印機等)、成像系統(相機及其零配件)、工業設備(包括晶片光刻機、面板光刻機、網絡攝像頭和商用印表機等)以及醫療系統(包括視網膜相機、角膜曲率機等)。

佳能最早從 1970 年開始光刻相關業務,但近幾年來並無技術突破,推出的新產品均非光刻設備領域。

單看佳能工業設備銷售業績,整體上處於上升態勢,但光刻設備的比重越來越低,儘管從 2016 年到 2018 年光刻設備尤其是晶片光刻設備的銷售量有顯著上升,但價值量貢獻卻並無相同趨勢。

FY2017,其他工業設備如網絡攝像頭、商用印表機和三維機器視覺系統加總銷售額貢獻超過 80%,反映出佳能在光刻設備市場上議價能力不足,深層原因還是技術精度未能達到高端市場要求,僅能通過價格優勢獲得銷售量的提升。

佳能 FY2008-2017 光刻機銷售額及其部門占比

國產化進程:前路漫漫,曙光微現

光刻機研發的技術門檻和資金門檻非常高, 也正是因此,能生產高端光刻機的廠商非常少,到最先進的 14-7nm 光刻機就只剩下 ASML 能生產,日本佳能和尼康已經基本放棄 EUV光刻機的研發。

光刻機國產化仍有很長的路要走,處於技術領先的上海微電子裝備有限公司已量產的光刻機中性能最好的是 90nm 光刻機,製程上的差距非常大, 國內晶圓廠所需的高端光刻機只能完全依賴進口。

多種原因造成自主技術成長困難重重,光刻設備國產化,前路漫漫。

上海微電子則是國產光刻機的星星之火。

目前國內光刻機設備商較少,在技術上與國外還存在巨大差距, 且大多以雷射成像技術為主,在 IC 前道光刻設備方面, 上海微電子裝備(集團)股份有限公司(SMEE) 代表了國內頂尖水平。

公司主要致力於半導體裝備、泛半導體裝備、高端智能裝備的開發、設計、製造、銷售及技術服務。

設備廣泛於集成電路前道、先進封裝、 FPD 面板、 MEMS、 LED、 Power Devices等製造領域。

公司的封裝光刻機在國內市占率高達 80%,全球市占率也可達到 40%;前道製造光刻機最高可實現 90nm 製程,有望快速將產品延伸至 65nm 和 45nm。

上海微電子承擔著多項國家重大科技專項以及 02 專項光刻機科研任務,有望實現國產光刻設備的重大突破。

SMEE 前道光刻產品為 660 系列,為步進掃描投影型, 採用四倍縮小倍率的投影物鏡、工藝自適應調焦調平技術,以及高速高精的自減振六自由度工件台掩模台技術, 曝光光源有ArF、 KrF 和 i-line,目前只能達到 90nm 製程,與國際先進水平差距較大。

上海微電子公司 IC 前道製造用光刻設備

500 系列步進投影光刻機不僅適用於晶圓級封裝的重新布線以及 Flip Chip 工藝中常用的金凸塊、焊料凸塊、銅柱等先進封裝光刻工藝,還可以通過選配背面對準模塊,滿足 MEMS和 2.5D/3D 封裝的 TSV 光刻工藝需求。

上海微電子公司 IC 後道封裝用光刻設備

除晶片光刻設備,公司還有 FPD 光刻設備。

200 系列投影光刻機採用先進的投影光刻機平台技術,專用於 AMOLED 和 LCD 顯示屏 TFT 電路製造,可應用於 2.5 代~6 代的 TFT 顯示屏量產線。

該系列設備具備高解析度、高套刻精度等特性,支持 6 英寸掩模,顯著降低用戶使用成本。

上海微電子公司 TFT 曝光設備

另外, SSB300/30 投影光刻機適用於 2-6 英寸基底 LED 的 PSS 和電極光刻工藝,該設備具有高解析度、高線寬均勻性等特點; SSB320/10 投影光刻機專用於 LED 生產中晶片製作光刻工藝,採用超大曝光視場,通過掩模優化設計減少曝光場,減少重複晶片損失,顯著提高產能。

上海微電子公司 LED、 MEMS、 Power Devices 製造用光刻設備

截至 2018 年 1 月, SMEE 直接持有各類專利及專利申請超過 2000 項,同時通過建設並參與產業智慧財產權聯盟,進一步整合共享了大量聯盟成員智慧財產權資源,涉及光刻設備、雷射應用、檢測類、特殊應用類等各大產品技術領域,全面覆蓋了 SMEE 產品的主要銷售地域,上海微電子公司承接著我國光刻設備星火燎原的希望。

上海微電子公司主要設備產品及工藝

請為這篇文章評分?


相關文章 

一文看懂光刻機

來源:內容來自「華創證券」,謝謝。半導體晶片生產主要分為 IC 設計、 IC 製造、 IC 封測三大環節。 IC 設計主要根據晶片的設計目的進行邏輯設計和規則制定,並根據設計圖製作掩模以供後續光...

這才是半導體行業的印鈔機

1965年,戈登·摩爾提出摩爾定律。當價格不變時,集成電路上可容納的元器件的數目,約每隔18-24個月便會增加一倍,性能也將提升一倍。這個不斷觸碰半導體工藝極限的定律,也經常伴隨著「死亡」和「新...

群雄逐鹿光刻圈

近期,半導體界掀起了一陣小小的光刻潮,原因就是浸潤式光刻技術的開創者林本堅博士獲得了2018年未來科學大獎-數學與計算機科學獎,並於近日進行了多次主題演講,使半導體光刻這項高端而又略顯神秘的技術...

智能社會的「建築師」:集成電路光刻技術

當前,我國集成電路研發和製造能力正處於一個快速發展的歷史性關鍵時期。光刻技術作為晶片製造的核心技術,其良好的性能是集成電路研發和製造能力快速前進的重要保障。光刻作為一門多學科交叉的高端技術,融...

Intel宣布10nm進展:真拼了

三星宣布7LPP工藝進入量產,並表示基於EUV光刻技術的7LPP工藝對比現有的10nm FinFET工藝,可以提高20%性能、降低50%功耗、提升40%面積能效。三星電子的代工銷售和營銷團隊執行...

為什麼全世界只有荷蘭能夠製造頂級的光刻機

作為生產晶片的最為關鍵的設備之一,光刻機被業界譽為集成電路產業皇冠上的明珠,其設備的性能直接影響到整個微電子產業的發展,因此研發的技術和資金門檻非常高,像日常使用的手機CPU製造工藝都離不開光刻...

AMSL的光刻機為何能賣上億美元?

近日荷蘭大廠ASML公布2018年Q3季度財報,季度營收為27.8億歐元,凈利潤為6.8億歐元,全季度出貨5台EUV,同時ASML預計今年將出貨18台EUV,明年獎把產量提升至30台。平均每台價...