復盤晶片製造之王台積電崛起之路!解讀大基金二期與國產替代機會

文章推薦指數: 80 %
投票人數:10人


自張忠謀在 1987 年創立公司,台積電見證半導體產業分工發展,與晶片設計行業(fabless)共同成長。

近十年公司在製程工藝引領行業,7nm 與 5nm 等製程更領先三星半年以上量產。

2019 年,公司營收 346.3 億美元,行業份額達 52.6%;46%的毛利率與 111.8 億美元的歸母凈利均遠超同業。

台積電的技術路線與資本開支,被視為半導體行業甚至數字經濟的風向標。

本期的智能內參,我們推薦興業證券的報告《從台積電核心能力,看半導體行業趨勢與國產化路徑》, 深入探討台積電的核心能力與未來行業格局 ,預測半導體行業未來趨勢以及國產化等過程。

如果想收藏本文的報告(從台積電核心能力,看半導體行業趨勢與國產化路徑),可以在智東西(公眾號:zhidxcom)回復關鍵詞「nc443」獲取。

本期內參來源:興業證券

原標題:

《從台積電核心能力,看半導體行業趨勢與國產化路徑》

作者: 張憶東

一、晶圓代工龍頭,行業前瞻指標

台灣積體電路製造公司(以下簡稱台積電)於 1987 年由半導體教父張忠謀成立,是全球最大的專職晶圓加工製造的企業,總部位於台灣新竹。

公司通過專業晶圓代工模式,幫助 IDM(設計與生產一體化)進行垂直分工,大幅降低了晶片設計的技術與資本門檻並提升了生產效率,從而催生了無晶圓廠(Fabless)的專業設計行業,加速半導體行業的演化,實現摩爾定律的升級規律。

1994 年上市至今營收增長 55 倍,凈利增長 39 倍,近十年 CAGR 超行業 7pcts。

2019 年公司營收達到 10,700 億新台幣(346.3 億美元),較 1994 年增長近 55 倍,近 10 年複合增速為 11%,同期半導體行業整體複合增速為 4%。

2019 年凈利達到3,453 億新台幣,較 1994 年增長近 39 倍,近 10 年複合增速為 9%。

▲台積電歷史營收凈利變化

▲全球半導體市場規模

盈利能力推動市值持續上升,上市至今成長約 97 倍

自 1994 年 9 月 5 日在台灣證券交易所上市以來,台積電市值從最初約 28.6 億美元上漲至近日 2,789 億美元(2020 年 02 月 20日),市值成長近 97 倍,成長為台灣證券交易所市值最大的公司。

台積電近年來各項利潤率指標均保持穩定,2018 年公司毛利率、營業利潤率、凈利率分別為 48%、37%、34%,在晶圓行業內一枝獨秀。

▲台積電市值自上市成長近 97 倍

▲近十年公司毛利率維持在 50%左右

晶圓代工產能龐大,利用率持續高企。

截止 2019 年底,公司擁有五座 12 寸晶圓廠(Fab 12,14,15,16,18)、七座 8 寸晶圓廠(Fab3,5,6,8,10 及台灣之外工廠)、一座 6 寸晶圓廠(Fab2)。

整體月產能約 100 萬片,近十年產能利用率高達 95%。

在建台南科學園區 5nm 製程新廠,以及規劃中的 3nm 新廠,預計分別於 2020、2022 年實現量產。

▲2019 年台積電產能每月約 100 萬片 12 寸約當晶圓

▲台積電近 10 年產能利用率平均達 95%

行業規模 654 億美元,台積電占據半壁江山。

根據 TrendForce 的數據,2019 年全球晶圓代工行業的市場規模約 654 億美元,同比持平。

19Q4 台積電營收 104 億美元,市占率達到約 52.7%。

三星、聯電、聯華電子與中芯國際緊隨其後,市占率分別達到 17.8%、8.0%、6.8%、4.3%。

2020 年伴隨 5G 及數據中心的需求增長,行業或進入復甦通道,台積電預期將有兩位數的增長,有望憑藉行業領先地位取得超市場的表現。

▲晶圓代工市場規模

▲2019Q4 全球晶圓代工市場份額

全線布局製程技術組合,產品廣覆蓋

公司積累了 30 年的項目經驗,在知識儲備與產能設備方面,達到產品組合廣覆蓋,尤其在集成電路與微機電系統等領域,均為行業領先水平,滿足終端客戶的多樣化需求;在產品開發階段,公司能協助或甚至指導客戶,有效改善晶片結構設計與製程規劃。

具體的產品種類,則涵蓋了邏輯晶片、微機電系統、圖像傳感器、內存、射頻、模擬訊號、高電壓控制器等,廣泛應用於移動通信、汽車電子系統、可穿戴設備、物聯網等多領域。

二、 台積電的成功因素與壁壘

1、 與頂尖客戶深度合作,高強度研發投入

早期政策扶持與技術引進培育公司實力。

科技園區:仿照矽谷模式,台灣當局於 1980 年設立新竹科技園區,發揮高新技術產業集群優勢。

資金支持: 1987年由台灣「國科會」出資 1 億美元,與飛利浦及一些民間資本共同創建了台積電。

技術引入:在技術和人才交流上,台當局與美國公司合作(如美國無線電公司),引入技術並外派人員交流學習,同時台積電還得到了荷蘭飛利浦公司的入股,獲得技術幫助。

工研院在台灣半導體早期發展中,扮演了關鍵角色

首先是作為整體產業對外的技術平台,先向行業龍頭與學術單位洽談技術授權與專利購買,然後再將晶圓相關技術轉移給重點公司。

同時,也起到了產業鏈內部規劃的作用,通過資本投入與橫向溝通,有效推動行業發展併合理安排企業分工。

台積電在創立初期,研發團隊與專利基礎即是以台灣工研院為主體,具有深厚的研發基因;隨著公司規模擴大,積極由高等院校招募研發人員與技術人員,不僅能將實驗室的創新研究成果,快速轉化為實際生產能量,也確保生產線能被有效的管理與操作。

研發投入強度不斷攀升,近 10 年研發營收比平均為 7%,高於多數可比公司。

2018 年台積電研發投入 28.5 億美元,近十年複合增速為 18%,同期三星、聯電、中芯分別為 13%,6.5%,15%。

2018 年台積電研發投入占收入比重為 9.4%,低於中芯國際(17%),高於三星(7.5%)、聯電(8.6%)。

2008~2017 研發人員數量增加 3 倍,達到近 6200 人,常年高強度的研發投入與龐大的研發團隊奠定了台積電在晶圓代工領域的主導地位。

▲台積電研發投入及營收占比

▲2018 年台積電與可比公司研發投入對比

全球範圍約 3.7 萬億專利,構築技術壁壘。

目前台積電在全球範圍內擁有 3.7 萬項專利。

根據創新指數研究中心公布的 2019 年全球半導體技術發明專利排行榜,台積電以 2168 件專利申請數位居第二,體現了其一如既往的研發高投入。

大量的專利也幫助台積電能夠在專利官司中勝出, 譬如 2009 年中芯國際因專利侵權等案件,被判決向台積電支付約 10 億美元賠償,同年中芯國際僅有 1.6 億的營收,失去發展重要契機,差距持續擴大。

▲2019 年全球半導體技術發明專利排行榜

與蘋果深度合作,智慧型手機時代搶得先機。

在 2013 年前,蘋果 A 系列處理器全部經由三星代工生產。

台積電在經過兩年的努力,其 16nm FinFET 工藝在性能、功耗等方面媲美三星 14nm FinFET 工藝,且由於三星與蘋果在智慧型手機領域的競爭關係,台積電開始從 2016 年起成為蘋果 A 系列處理器的獨家代工廠,深度的合作使得台積電的邏輯代工與智慧型手機相關收入快速增長。

目前台積電在 SoC 領域已擁有蘋果 A 系列、海思麒麟、高通驍龍、聯發科 Helio 等重要客戶,幾乎壟斷高端智慧型手機 SoC 代工市場。

▲台積電自蘋果 A10 處理器取得全數代工份額

從追趕到領先,超越了半導體龍頭 Intel。

隨著製程精度的要求不斷提高,在光刻、摻雜、結構設計、封裝方式等環節皆需持續升級,確保半導體的性能不斷提升,延續甚至超越摩爾定律。

英特爾 10nm 的持續難產,也使得台積電的 7nm FinFET實現了從追趕到領先的反超,成為推動摩爾定律的關鍵角色。

因此在 2000 年後的晶圓製程,公司在多個關鍵技術節點上維持領先地位。

▲公司在關鍵製程技術的演進

▲各晶圓廠商技術節點量產時間

2、 資本開支與製程領先的正向循環

資本支出對具有周期性的半導體行業是最大難題。

雖然半導體的終端需求持續增長,但由於晶圓廠由建設到實際量,需要 2 年以上時間,前段設備也有半年以上的開發期,造成行業供需的周期性;許多 IDM 及代工業者,會為了確保產能利用率而對資本開支相對保守,避免過度投資。

相對來說,公司深信技術領先是核心競爭力,對於新製程的開發投入十分積極,即便在周期低谷,仍有 3 成以上的營收持續投入。

▲主要晶圓廠資本支出對比(百萬美元)

先進位程資本投入水漲船高,5nm 每千片投資額高達近 3 億美元。

根據 IC insights數據,50K 片/月產能的 130nm 工藝 200mm 廠需要約 14 億美元投資,每千片約需要 2,800 萬美元投資額;同樣產能的 300mm 廠需要約 24 億-100 億美元,每千片約需要 0.6-2 億美元投資額。

台積電規劃對 5nm 投資 250 億,若根據最終 80K/月的產能計算,每千片約需 3 億美元投資額。

▲台積電季度收入按製程分類

先進位程疊代加速,為營收提供穩健支撐。

台積電大約 2-3 年就會製程上推進一個工藝節點。

營收占比提升的速度呈現加快的趨勢。

最新的 7nm 在 18Q3 實現量產,僅 4 個季度總營收占比便提升至 30%(以往需 1.5-2 年),體現出台積電對良率和產能的控制越來越遊刃有餘。

憑藉激進的折舊和領跑優勢,用價格戰阻擊對手。

通常情況下,台積電的製程技術是領跑的,即意味著設備折舊率先提完(台積電一般 5 年),而競爭對手還在計提設備折舊。

台積電可以利用成本上的優勢大大價格戰。

以 28nm 為例,台積電2011 年新入的產能在 2016 年底即可計提完折舊,2017 年開始降價,讓中芯國際和聯電的 28nm 產品盈利性大幅下滑。

▲300nm 晶圓代工廠投資規模

▲台積電晶圓單位銷售成本拆分

高額資本開支與充沛經營現金流形成了正向循環,不斷強化領先優勢。

對比另外兩家純晶圓代工企業聯電和中芯國際,台積電的資本開支規模上遙遙領先,2019年資本開支分別是聯電和中芯的 5 和 15 倍。

此外,晶圓代工行業設備折舊年限通常是 5-7 年,而台積電的設備折舊政策尤為激進為 5 年,因此台積電每年有大量的折舊,經營性現金流遠超凈利潤。

經營性現金流又可以支撐台積電在未來投入更多的資本開支,不斷擴大這種規模優勢。

▲台積電、聯電、中芯資本開支對比

▲台積電經營性現金流與凈利潤對比

3、 追求與客戶共榮,提供「一站式服務」

「群山計劃」俘獲 IDM 大單,開啟共同開發先例。

隨著 12 英寸晶圓廠從 2000 年成為主流,單座晶圓廠近 25 億-30 億美元的投入讓許多 IDM 大廠望而卻步。

張忠謀為搶下 IDM 大客戶訂單擬定了一套「群山計劃」:針對五家採用先進工藝的 IDM大廠,為其量身訂做解決方案,與德州儀器、意法半導體、摩托羅拉展開業務合作,甚至雙方一同投入資源,共同開發製造工藝,從而快速打開國際市場。

專注代工製造,避免與客戶的商業競爭。

台積電能夠領先全球的一個重要原因是他們設定了一個絕對不與客戶競爭的原則,避免潛在競爭關係,並對客戶的產品規劃與設計方案嚴格保密。

台積電自 2016 年拿到蘋果 A 系列應用處理器訂單,除了在性能上優於三星,還有一方面是因為蘋果與三星同業競爭的關係。

早期台積電的客戶以 Altera、Motorola、Philips、Alcatel 等電信設備相關廠商以及英偉達等 PC 相關廠商為主。

隨著移動通信技術的升級疊代和智慧型手機的興起,蘋果、聯發科、海思等智慧型手機相關客戶成為台積電增長的最重要驅動力。

▲台積電客戶的變化

5G 時代下先進位程助力台積電鞏固領先地位。

隨著華為、三星、小米等品牌廠商密集推出 5G 手機,5G 手機的換機周期正式拉開序幕,帶動 5G 晶片需求提升。

除高通 X50 及三星 Exynos 5100 採用三星的 10nm 工藝外,高通新一代雙模 5G基帶 X55,華為的 Balong 500 基帶與麒麟 990 5G SoC,以及聯發科與紫光展銳的5G 基帶均選用台積電的先進位程工藝。

▲台積電現階段代工的 5G 晶片

積極建設智能管理系統,提升生產效率與良率。

台積電自 2011 年開始,引入智能生產系統,在廠線上遍設傳感器,用來記錄生產線數據,包括設備參數、溫濕度、氣體流量、電流等,同時整合數據分析、智能診斷、自主調節、精準預測等技術,分析環境條件與產出的變量關係,用來動態精準控制生產要素。

得利於智能化的製造體系,目前公司的按時交貨比率高達 99.5%,生產良率也能常保持在穩定狀態。

良好的生產流程管控也使得公司新製程的良率和產能爬坡更為迅速。

晶圓廠向後段整合,高端封裝為客戶提供全面的配套服務。

台積電自 2012 年開始布局封裝,晶圓級封裝與系統級封裝相關技術成為差異化關鍵。

舉例來說,蘋果的 A10 處理器由台積電與三星共同生產,台積電產品採用 16nm 製程配套 InFO,許多性能指標優於三星 14nm 製程的產品,台積電也因此拿到主要份額。

公司目前擁有三座先進封測廠,與旗下的精材合作,進行晶圓級封裝(Chip-on-Wafer-onSubstrate,CoWoS)和扇出型晶圓級封裝(Intgrated Fan Out,InFO)等業務。

三、 行業回暖,先進位程兩強競爭

1、5G 帶動半導體市場進入復甦周期

2020 年半導體市場有望進入復甦周期,晶圓代工將受益。

2019 年全球半導體銷售額約 4,090 億美元,同比下滑約 12%。

台積電預測 2020 年受益於 5G 部署與高性能計算的強勁需求,晶圓代工行業有望迎來兩位數的增長。

▲全球半導體市場增速接近 10 年底部

智慧型手機、數據中心、汽車與 IoT 成為半導體行業的新周期的驅動力。

台積電作為半導體行業甚至數字經濟的風向標,其營收構成或可以體現目前下游需求的主要驅動力。

2019Q4 台積電手機、高性能計算、汽車與 IoT 分別占總營收 53%、29%、4%、8%。

雲計算巨頭資本開支回暖,數據中心迎來擴容加速期。

隨著 5G、IoT、AI 三種技術的逐步成熟,海量數據將帶來更多的計算資源的需求。

根據 Cisco 預測,2018年數據中心流量達 11.6ZB,19-21 年複合增速約為 20%。

北美四大雲計算巨頭(亞馬遜、微軟、谷歌、Facebook)資本開支在經歷 2018 年四個季度 40%以上的高速同比增長後,2019Q3 資本支出合計 190 億美元,同比增長 19%,較 Q2(+14%)進一步改善,整體行業開始進入復甦通道。

▲全球數據中心 IP 流量

▲北美四大雲計算巨頭資本支出

2020H2 有望迎來 5G 換機潮,ASP 增長與出貨量回暖將推動整體市場兩位數增長。

近兩年智慧型手機的生產量大致維持在 14 億部,2019 年同比下跌 4%。

受益 5G的部署,2020 年智慧型手機出貨量有望止跌,我們預計,2020 年全球手機出貨量比增長 1-3%,其中,5G 手機出貨量占比約 15.1%。

同時伴隨 5G 手機 ASP 的增長,預計 2020 年整體市場規模增長 10%以上。

▲全球智慧型手機市場規模

▲5G 初期手機價值增量

2019 年國內三大運營商資本開支企穩回升,2020 年開啟 5G 建設周期。

2019 年三大運營商資本開支合計約 3,020 億元,同比增長 4%。

觀察韓國經驗,5G 在開始商用後,基建速度開始加快。

2020-24 年中國整體行動網路資本開支,預計為9,386 億元,較 4G 前 5 年(2013-17 年)增加 19.6%。

共建 5G 網絡資本開支可能下降,但有助運營商的財務能力與行業發展,建設高峰期提前至 2021 年。

▲2023 年為全球 5G 建設巔峰

▲聯通電信共建共享將提升中國 5G 建設速度

2、 先進位程寡頭格局,台積電、三星、英特爾三足鼎立

▲前十大晶圓代工公司對比

晶圓代工行業 CR5 高達 90%,先進位程占據約 40%營收。

業內一般認為 40nm以下節點認為是先進位程, 2018 年成熟製程擁有近 60%的市場份額,未來先進位程逐步提高占比,行業龍頭有望受益。

2019 年晶圓代工 CR5 高達 90%,CR10達到 95.6%,馬太效應凸顯。

▲2018 年不同製程節點的晶圓代工份額

先進位程呈寡頭格局,英特爾、台積電、三星三足鼎立,中芯國際是後續追趕者。

由於前述的投入規模與核心客戶綁定現象,先進位程的龍頭集中趨勢愈發明顯,全球有 20 多家公司掌握 90nm 工藝量產,而到了 14nm 僅有 5 家公司,除了前三大晶圓廠,其他廠商如格羅方德、聯電均已停止 10nm 以下先進位程的研發,二線梯隊僅餘中芯國際追趕先進位程。

▲先進位程向龍頭集中

三星未來 10 年投資 1,160 億美元,加碼 LSI 和晶圓代工業務。

三星於 2005 年成立了晶圓代工業務部門,並於 2017 年獨立經營。

截止 2019 年底,三星晶圓代工專屬線包括 6 條 12 寸線和 3 條 8 寸線,製程覆蓋 65nm-7nm,客戶包括高通、恩智浦、Telechips 等。

2019 年 4 月三星宣布未來十年將投入 1,160 億美元到 LSI(非存儲晶片)和晶圓代工,並計劃 2020 年底試產 3nm 工藝,爭取行業的主導權。

代工業務失利,英特爾逐步退出,先進位程持續遞延。

英特爾製程升級的 Tick-Tock規律應該是 2 年更新一代,但 2014 年的 14nm 到 2019 年的 10nm 升級明顯放緩。

英特爾 10nm 製程在 2019 進入量產,但仍存在良率爬坡與供給不足問題。

預計2020 年推出 10nm+,20201 年推出 7nm,2022 年推出 7nm+,2023 年推出 7nm++。

因產能緊缺,英特爾目前已停止對外代工製造,全力衝刺自家晶片。

▲英特爾技術路線圖

四、 國產化現況與判斷

1、 產業移轉疊加安全需求,國產化趨勢明確

中國迎來半導體產業第三次轉移

第一次大型計算機時代造就了日立、三菱電氣、富士通、NEC、東芝等世界頂級晶片製造商。

第二次 PC 和消費電子時代,帶動了台灣代工封裝和韓國存儲行業的騰飛。

目前全球半導體產業正在發生著以IoT為標誌的第三次大轉移,為大陸半導體產業崛起創造機遇。

▲半導體歷史上的三次產業轉移

▲中國集成電路進出口額

▲中國集成電路進出口逆差及同比增速

中國晶片廠商崛起,2018 年中國市場規模達 2,519 億元,十年複合增速 28%。

受益於終端市場的多樣化需求以及設計輕資產的模式,大量國內設計企業湧入Fabless 領域。

截止 2018 年中國已有 1,698 家晶片設計企業,華為海思、紫光展銳、中國華大等正在快速崛起,2017 年位列全球 TOP50 的中國晶片設計公司由2009 年的 1 家增到 10 家,銷售額在 2018 年達到 2,519 億元,10 年複合增速達28%。

以中芯國際為首的中國晶圓代工廠藉助於地域優勢,能為中國 Fabless 提供全方位、本土化的解決方案。

▲中國 IC 設計公司數量

▲中國 IC 設計與製造行業規模

中上游對外依賴度高,半導體材料與設備國產化率平均不足 20%。

2018 年中國集成電路市場規模 6,532 億元人民幣,進出口逆差約 2,000 億元人民幣,占本國整體市場的三分之一。

2018年我國半導體製造所需的材料和設備自主化平均不足20%,半導體供應鏈安全性問題凸顯。

▲2018 年半導體設備國產化率

▲2018 年半導體材料國產化率

2、 大基金引導投資,一級與二級市場共振

大基金一期上市公司投資回報近 58%,高估值帶來補貼收益的確定性。

根據統計,大基金一期共投資 20 家上市公司近 367 億元人民幣,截止 2020 年 2 月 28 日持股市值已上升至 578 億,投資回報率約為 58%。

此外,二級市場較高的估值將有利於政府資金補貼的未來收益,因補貼帶來的利潤增量在高估值下,將帶來更高的資本收益。

▲大基金一期上市公司投資情況梳理

大基金資金加持,一期著力發展半導體製造,二期發力自主設備與材料。

大基金一期對外共投資近 1,387 億元人民幣,共計投資 74 家公司,其中集成電路製造投資額占比約 54%,在材料和設備領域占總投資額 4.5%。

大基金二期註冊資本為2,041 億元人民幣,目標投向上游設備與材料,強調保障產業鏈安全;相關行業約占全球半導體行業規模 20%左右,故大基金二期投資額占比將有所提升。

▲大基金一期投資項目梳理

3、 近期外部情勢將加速產業鏈替代

「瓦森納協議」成達摩克利斯之劍,半導體國產替代長期趨勢不變

「瓦森納協定」於 1996 年簽署,目前共有包括美國、日本、英國、俄羅斯、荷蘭等 42 個成員國。

協議規定成員國自行決定是否發放敏感產品和技術的出口許可證,並在自願基礎上向其他成員國通報有關信息。

中國(大陸)及朝鮮等國都在「被禁運」國家之列,出口限制的對象以常規武器及部分工具機等為主。

「瓦森納協議」的存在將成為半導體國產替代的長期趨勢,半導體製造中最為重要的設備與材料自主化將持續推進。

美國大選年貿易摩擦再起,欲降低技術限制比例至 10%或完全禁止美國半導體廠商為華為供貨

2020 年為美國總統大選年,中美貿易議題的重要性較去年大幅提升。

去年 5 月美國將華為加入「實體清單」,但四次發放「臨時許可證」延長至 2020年 4 月 1 日。

根據路透社報導,美國政府正考慮針對華為的出口管制標準,將來自美國技術的限制門檻從 25%調到 10%,以阻止台積電等全球主要晶片供應商向華為供貨;美國商務部正在起草對所謂的「外國直接產品規則」的修改,擬禁止外國廠商用美國半導體設備為華為製造晶片。

半導體設備為主要受限對象,華為供應商或受影響

EUV 光刻機為 7nm 以下先進位程所必需的半導體設備,其生產商 ASML 因「瓦森納協議」尚未取得荷蘭向中國出口的許可。

因 ASML 在光刻機市場份額約 90%,故使用其設備的代工廠如台積電與中芯國際可能都會有所影響。

美國技術門檻的標準通常將使用美國設備的價值量來定義。

從短期看,中芯國際 14nm 新製程剛開始量產,預計美國設備價值量占比或達到 10%以上,中芯或通過加速折舊的方式降低美國設備技術含量的比例。

從長期看,中芯或與國內半導體設備廠商深化合作,加速設備國產化的進程。

▲台積電代工的部分華為產品

▲各晶圓廠擁有 EUV 設備數統計

4、 以中芯國際為例,看國產晶圓代工的挑戰與機遇

對比台灣,中國大陸晶圓代工條件正逐步完善但差距尚存。

我們以國內晶圓代工龍頭中芯國際對比,分析目前國產化的現況與發展路徑。

台積電與中芯兩者相似處在於起步階段都是處於全球半導體產業的轉移,同時受到政策扶持;兩者的差異處在於發展過程中實力積累的差異比如研發能力、資本開支規模、客戶合作等;未來外部環境的變化都將給兩者帶來不同的機遇與挑戰。

▲台積電與中芯國際的對比

靜態來看,中芯國際量產製程與台積電有 2-3 代差距。

台積電 2005 年研發出 90nm,中芯緊隨其後,1 年後也實現量產,這是中芯最接近台積電的製程進度,但因侵權台積電所帶來的巨額支付以及半導體行業的整體下行,差距被逐漸拉大。

中芯國際的最新製程 14nm 在 19Q4 實現量產,而台積電在 20H2 5nm 製程便有望開始貢獻營收,約領先中芯國際接近 3 代製程工藝節點。

中芯與台積電的資本支出差距巨大,但在二線梯隊持續領先。

2004 年中芯國際在港美兩地上市,籌得大量資金投入研發生產,當年資本支出達到台積電的 80%。

高額投入下,很快就研發出 90nm 製程,僅遲於台積電 1 年。

從現階段對比,若台積電 16nm 和中芯國際 14nm 的水平相當,14Q3-19Q4)的資本開支為歷史差額,該段時間台積電支出約 550 億美元。

▲中芯國際製程收入占比

▲台積電與中芯國際資本支出對比

未來 3 年預計資本支出將達到 80 億美元,高於公司經營性現金流。

高額的資本開支帶來中芯國際持續的現金流缺口,公司上市以來資本支出在多數年份都超出經營性現金流凈額,15 年至今累積現金流缺口約 60 億美元。

大基金一期共計給 中芯國際及旗下子公司投資約 190 億元人民幣。

大基金二期投資規模接近翻倍,預期投資晶片製造的金額將有所提升。

2 月 18 日,中芯國際發布公告將發行 6 億元的債券向泛林購買設備,用於擴產產能。

判斷隨著中芯產能持續擴大,未來三年融資活動將更為頻繁。

預計,中芯國際 14nm 以下製程有望在 2022 年毛利率由負轉正。

我們對中芯國際先進位程的業務進行了拆分,涉及的重要假設有 1)資產投入及折舊:預計未來每年資本投入約 25 億美元,設備投資占總資本投入 80%,固定資產按 7 年折舊;2)收入分拆:根據規劃 14nm 與 N+1 最終產能合計約 7 萬片,假設每季度爬坡 3 千片;14nm ASP 初始為 2500 美元/片,10nm ASP 初始為 4000m 美元每片;產能利用率約 3 年達到 90%的水平(已考慮良率);3)成本:假設製造成本維持在收入 25%比重。

根據以上假設,我們測算公司於 2022 年毛利率接近由負轉正。

▲中芯國際 14nm 以下先進位程的毛利率分析

中國前十大晶片公司 2020 年營收預測 1,600 億,或產生約 400 億晶圓代工需求,華為兼具研發能力與終端需求,是最重要客戶。

根據 Trendforce 報告顯示,2018年中國前十大 IC 設計廠商營收合計約 965 億元人民幣,預計 2020 年將達到 1,600億(三年複合增速 30%)。

根據 IDC 預測,2020 年華為全球手機出貨量約 2.17 億台,若簡單以每台一顆估算,預計將產生 2.2 億顆手機晶片的需求(約略每月 3 萬片 12 寸晶圓的產能),加上其餘邏輯晶片、射頻晶片,以及基站及伺服器產品,是兼具研發實力與產品需求的重要客戶。

▲中國前十 IC 設計廠商營收情況

▲華為手機全球出貨量預測

智東西認為, 5G 智能機與高性能運算帶動先進位程需求,隨著 5nm 量產與 3nm 推進,將鞏固台積電 5 年以上的龍頭地位。

另一方面,半導體產業鏈必將逐步向國內轉移,一線晶片公司(如華為海思、匯頂),以及晶圓代工廠(如中芯國際、華虹半導體),行業地位與能力有望持續提升。

感謝閱讀。

點擊關註上船,帶你浪在科技前沿~


請為這篇文章評分?


相關文章 

六大晶片製造廠的製程工藝演進之路

當下,半導體製造業發展得如火如荼,特別是以台積電為代表的晶圓代工業,在對更先進位程工藝的不斷追求下,使得產業鏈上的相關企業備受關注,也拉動著產業投資。10nm、7nm、7nm+,以及明年就將

14nm代工江湖再添變數

近些天,幾家備受業界關注的晶圓廠接連曝出新聞,例如,上周末,武漢弘芯半導體為其首台高端光刻機設備進廠舉行了隆重的進廠儀式。按照該公司原來的計劃,是要在今年下半年實現正式投產的,該高端光刻機的入廠...

晶圓廠瘋狂投資,設備商迎來甜蜜期

來源:內容來自「工商時報」,謝謝。由於5G及高效能運算(HPC)相關晶片大量採用7納米及更先進邏輯製程,包括應用材料及艾司摩爾(ASML)等兩大半導體設備廠,同步看好明年晶圓代工邏輯製程市場成長...

主要半導體代工廠發展現狀

首先介紹下何為晶圓代工呢?晶圓代工就是幫別的公司生產晶圓片。而晶圓呢,即製造各式晶片的基礎。對晶片製造來說,這個基板就是接下來將描述的晶圓。目前,大家熟知的晶圓代工廠大概有台積電、格芯、聯電、中...

台積電:我們的10nm沒問題

版權聲明:本文來自威鋒網,如您覺得不合適,請與我們聯繫,謝謝。三星和台積電都在積極完善自家的 10nm 製作工藝,但三星似乎已經搶先一步了,不過台積電也沒有落後多少。在分析師還在擔憂台積電的 1...

中國晶圓廠可以從台積電學到什麼?

中國正在大規模投資建設半導體,但由於技術所限,目前基本上都是集中在比國際先進水平落後一到兩代的技術上投入。但是半導體行業觀察記者發現,某些從業人員或者旁觀者會有「中國投資的技術那麼差,這不浪費錢...

台灣晶圓代工業可能永遠稱霸全球?

從全球晶圓代工龍頭——台灣積體電路製造公司(TSMC)於1987年成立、締造專業的半導體晶圓代工產業後,已經過了30多個年頭了,台灣至今仍然在擁有620億美元的晶圓代工市場稱霸全球。

英特爾找三星代工晶片

來源:半導體行業聯盟韓媒報導稱,英特爾尋求三星幫助代工14nm CPU晶片。這是英特爾第一次尋求三星為其代工處理器。英特爾雖然有自己的晶圓廠,但是仍然無法滿足其產能需求。我們都都知道,晶圓代工廠...